OpenCores
URL https://opencores.org/ocsvn/special_functions_unit/special_functions_unit/trunk

Subversion Repositories special_functions_unit

[/] [special_functions_unit/] [Open_source_SFU/] [rsqrt_vhdl/] [rsqrt.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 divadnauj
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
use work.log2_pkg.all;
5
 
6
entity rsqrt is
7
port(
8
        i_x             :in     std_logic_vector(31 downto 0);
9
        o_rsqrt :out std_logic_vector(31 downto 0)
10
);
11
end entity rsqrt;
12
 
13
architecture oper of rsqrt is
14
constant K      :unsigned(31 downto 0) := X"5F375A86"; --X"5F3759DF";
15
signal Y        :std_logic_vector(31 downto 0);
16
signal X2       :std_logic_vector(31 downto 0);
17
 
18
signal mult1, mult2, mult3, mult4, resta :std_logic_vector(31 downto 0);
19
signal w_case_en        : std_logic;
20
signal w_ieeecase       : std_logic_vector(i_x'left downto 0);
21
 
22
begin
23
 
24
Y <= std_logic_vector(K-unsigned('0'&i_x(31 downto 1)));
25
X2 <= i_x(31)&std_logic_vector(unsigned(i_x(30 downto 23))-1)&i_x(22 downto 0);
26
 
27
FP1: entity work.multFP
28
        port map(
29
        entrada_x  => X"3fc00000",
30
        entrada_y  => Y,
31
        salida     => mult1
32
        );
33
 
34
FP2: entity work.multFP
35
        port map(
36
        entrada_x  => Y,
37
        entrada_y  => Y,
38
        salida     => mult2
39
        );
40
 
41
FP3: entity work.multFP
42
        port map(
43
        entrada_x  => Y,
44
        entrada_y  => X2,
45
        salida     => mult3
46
        );
47
 
48
FP4: entity work.multFP
49
        port map(
50
        entrada_x  => mult2,
51
        entrada_y  => mult3,
52
        salida     => mult4
53
        );
54
 
55
SUB: entity work.suma_resta
56
        port map(
57
        operando1  => unsigned(mult1),
58
        operando2  => unsigned(mult4),
59
        operacion  => "0010",
60
        std_logic_vector(resultado)  => resta
61
        );
62
 
63
IEEECASE: entity work.rsqrt_ieee
64
        port map(
65
        i_data          => i_x,
66
        o_case          => w_ieeecase,
67
        o_case_en       => w_case_en
68
        );
69
 
70
with w_case_en select
71
        o_rsqrt <=  resta               when '0',
72
                                w_ieeecase      when '1',
73
                                resta           when others;
74
 
75
end oper;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.