OpenCores
URL https://opencores.org/ocsvn/spi_master_slave/spi_master_slave/trunk

Subversion Repositories spi_master_slave

[/] [spi_master_slave/] [trunk/] [rtl/] [spi_master_slave/] [spi_loopback.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 24 jdoin
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    23:44:37 05/17/2011 
6
-- Design Name: 
7
-- Module Name:    spi_loopback - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--          This is a simple wrapper for the 'spi_master' and 'spi_slave' cores, to synthesize the 2 cores and
13
--          test them in the simulator.
14
--
15
-- Dependencies: 
16
--
17
-- Revision: 
18
-- Revision 0.01 - File Created
19
-- Additional Comments: 
20
--
21
----------------------------------------------------------------------------------
22
library ieee;
23
use ieee.std_logic_1164.all;
24
 
25
library work;
26
use work.all;
27
 
28
entity spi_loopback is
29
    Generic (
30
        N : positive := 32;                                         -- 32bit serial word length is default
31
        CPOL : std_logic := '0';                                    -- SPI mode selection (mode 0 default)
32
        CPHA : std_logic := '1';                                    -- CPOL = clock polarity, CPHA = clock phase.
33
        PREFETCH : positive := 2;                                   -- prefetch lookahead cycles
34
        SPI_2X_CLK_DIV : positive := 5                              -- for a 100MHz sclk_i, yields a 10MHz SCK
35
        );
36
    Port(
37
        ----------------MASTER-----------------------
38
        m_clk_i : IN std_logic;
39
        m_rst_i : IN std_logic;
40
        m_spi_ssel_o : OUT std_logic;
41
        m_spi_sck_o : OUT std_logic;
42
        m_spi_mosi_o : OUT std_logic;
43
        m_spi_miso_i : IN std_logic;
44
        m_di_req_o : OUT std_logic;
45
        m_di_i : IN std_logic_vector(N-1 downto 0);
46
        m_wren_i : IN std_logic;
47
        m_do_valid_o : OUT std_logic;
48
        m_do_o : OUT std_logic_vector(N-1 downto 0);
49
        ----- debug -----
50
        m_do_transfer_o : OUT std_logic;
51
        m_wren_o : OUT std_logic;
52
        m_wren_ack_o : OUT std_logic;
53
        m_rx_bit_reg_o : OUT std_logic;
54
        m_state_dbg_o : OUT std_logic_vector(5 downto 0);
55
        m_core_clk_o : OUT std_logic;
56
        m_core_n_clk_o : OUT std_logic;
57
        m_sh_reg_dbg_o : OUT std_logic_vector(N-1 downto 0);
58
        ----------------SLAVE-----------------------
59
        s_clk_i : IN std_logic;
60
        s_spi_ssel_i : IN std_logic;
61
        s_spi_sck_i : IN std_logic;
62
        s_spi_mosi_i : IN std_logic;
63
        s_spi_miso_o : OUT std_logic;
64
        s_di_req_o : OUT std_logic;                                         -- preload lookahead data request line
65
        s_di_i : IN std_logic_vector (N-1 downto 0) := (others => 'X');     -- parallel load data in (clocked in on rising edge of clk_i)
66
        s_wren_i : IN std_logic := 'X';                                     -- user data write enable
67
        s_do_valid_o : OUT std_logic;                                       -- do_o data valid strobe, valid during one clk_i rising edge.
68
        s_do_o : OUT std_logic_vector (N-1 downto 0);                       -- parallel output (clocked out on falling clk_i)
69
        ----- debug -----
70
        s_do_transfer_o : OUT std_logic;                                    -- debug: internal transfer driver
71
        s_wren_o : OUT std_logic;
72
        s_wren_ack_o : OUT std_logic;
73
        s_rx_bit_reg_o : OUT std_logic;
74
        s_state_dbg_o : OUT std_logic_vector (5 downto 0)                   -- debug: internal state register
75
--      s_sh_reg_dbg_o : OUT std_logic_vector (N-1 downto 0)                -- debug: internal shift register
76
        );
77
end spi_loopback;
78
 
79
architecture Structural of spi_loopback is
80
begin
81
 
82
    --=============================================================================================
83
    -- Component instantiation for the SPI master port
84
    --=============================================================================================
85
    Inst_spi_master: entity work.spi_master(rtl)
86
        generic map (N => N, CPOL => CPOL, CPHA => CPHA, PREFETCH => PREFETCH, SPI_2X_CLK_DIV => SPI_2X_CLK_DIV)
87
        port map(
88
            sclk_i => m_clk_i,                      -- system clock is used for serial and parallel ports
89
            pclk_i => m_clk_i,
90
            rst_i => m_rst_i,
91
            spi_ssel_o => m_spi_ssel_o,
92
            spi_sck_o => m_spi_sck_o,
93
            spi_mosi_o => m_spi_mosi_o,
94
            spi_miso_i => m_spi_miso_i,
95
            di_req_o => m_di_req_o,
96
            di_i => m_di_i,
97
            wren_i => m_wren_i,
98
            do_valid_o => m_do_valid_o,
99
            do_o => m_do_o,
100
            ----- debug -----
101
            do_transfer_o => m_do_transfer_o,
102
            wren_o => m_wren_o,
103
            wren_ack_o => m_wren_ack_o,
104
            rx_bit_reg_o => m_rx_bit_reg_o,
105
            state_dbg_o => m_state_dbg_o,
106
            core_clk_o => m_core_clk_o,
107
            core_n_clk_o => m_core_n_clk_o,
108
            sh_reg_dbg_o => m_sh_reg_dbg_o
109
        );
110
 
111
    --=============================================================================================
112
    -- Component instantiation for the SPI slave port
113
    --=============================================================================================
114
    Inst_spi_slave: entity work.spi_slave(rtl)
115
        generic map (N => N, CPOL => CPOL, CPHA => CPHA, PREFETCH => PREFETCH)
116
        port map(
117
            clk_i => s_clk_i,
118
            spi_ssel_i => s_spi_ssel_i,
119
            spi_sck_i => s_spi_sck_i,
120
            spi_mosi_i => s_spi_mosi_i,
121
            spi_miso_o => s_spi_miso_o,
122
            di_req_o => s_di_req_o,
123
            di_i => s_di_i,
124
            wren_i => s_wren_i,
125
            do_valid_o => s_do_valid_o,
126
            do_o => s_do_o,
127
            ----- debug -----
128
            do_transfer_o => s_do_transfer_o,
129
            wren_o => s_wren_o,
130
            wren_ack_o => s_wren_ack_o,
131
            rx_bit_reg_o => s_rx_bit_reg_o,
132
            state_dbg_o => s_state_dbg_o
133
--            sh_reg_dbg_o => s_sh_reg_dbg_o
134
        );
135
 
136
end Structural;
137
 
138
 
139
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.