OpenCores
URL https://opencores.org/ocsvn/spi_master_slave/spi_master_slave/trunk

Subversion Repositories spi_master_slave

[/] [spi_master_slave/] [trunk/] [syn/] [usage_statistics_webtalk.html] - Blame information for rev 18

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 jdoin
<HTML><HEAD><TITLE>Device Usage Statistics Report</TITLE></HEAD>
2
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
3
<H3>Device Usage Page (usage_statistics_webtalk.html)</H3>This HTML page displays the device usage statistics that will be sent to Xilinx.<BR>&nbsp;<BR><HR>&nbsp;<BR>
4
<TABLE BORDER CELLSPACING=0 WIDTH='100%'>
5
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN='4'><B>Software Version and Target Device</B></TD></TR>
6
<TR ALIGN=LEFT>
7
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD>
8
<TD><xtag-property name="ProductVersion">ISE:13.1</xtag-property><xtag-property name="ProductConfiguration"> (WebPack)</xtag-property><xtag-property name="BuildVersion"> - O.40d</xtag-property></TD>
9
<TD BGCOLOR='#FFFF99'><B>Target Family:</B></TD>
10
<TD><xtag-property name="TargetFamily">Spartan6</xtag-property></TD>
11
</TR>
12
<TR ALIGN=LEFT>
13
<TD BGCOLOR='#FFFF99'><B>OS Platform:</B></TD>
14
<TD><xtag-property name="OSPlatform">NT</xtag-property></TD>
15
<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
16
<TD><xtag-property name="TargetDevice">xc6slx45</xtag-property></TD>
17
</TR>
18
<TR ALIGN=LEFT>
19
<TD BGCOLOR='#FFFF99'><B>Project ID (random number)</B></TD>
20
<TD><xtag-property name="RandomID">d557c6c4bb5b4e4fa669c510e7b04848</xtag-property>.<xtag-property name="ProjectID">2C5BE631B69F48AB8C2F24035AF7A13B</xtag-property>.<xtag-property name="ProjectIteration">31</xtag-property></TD>
21
<TD BGCOLOR='#FFFF99'><B>Target Package:</B></TD>
22
<TD><xtag-property name="TargetPackage">csg324</xtag-property></TD>
23
</TR>
24
<TR ALIGN=LEFT>
25
<TD BGCOLOR='#FFFF99'><B>Registration ID</B></TD>
26
<TD><xtag-property name="RegistrationID">205970357_0_0_751</xtag-property></TD>
27
<TD BGCOLOR='#FFFF99'><B>Target Speed:</B></TD>
28
<TD><xtag-property name="TargetSpeed">-2</xtag-property></TD>
29
</TR>
30
<TR ALIGN=LEFT>
31
<TD BGCOLOR='#FFFF99'><B>Date Generated</B></TD>
32
<TD><xtag-property name="Date Generated">2011-07-18T02:50:20</xtag-property></TD>
33
<TD BGCOLOR='#FFFF99'><B>Tool Flow</B></TD>
34
<TD><xtag-property name="ToolFlow">ISE</xtag-property></TD>
35
</TR>
36
</TABLE>
37
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
38
<xtag-section name="UserEnvironment">
39
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=4><B>User Environment</B></TD></TR>
40
<TR ALIGN=LEFT>
41
<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>OS Name</xtag-env-param-name></B></TD>
42
<TD><xtag-property name="<xtag-env-param-name>OS Name</xtag-env-param-name>"><xtag-env-param-value>Microsoft Windows 7 , 32-bit</xtag-env-param-value></xtag-property></TD>
43
<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>OS Release</xtag-env-param-name></B></TD>
44
<TD><xtag-property name="<xtag-env-param-name>OS Release</xtag-env-param-name>"><xtag-env-param-value>Service Pack 1  (build 7601)</xtag-env-param-value></xtag-property></TD>
45
</TR>
46
<TR ALIGN=LEFT>
47
<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>CPU Name</xtag-env-param-name></B></TD>
48
<TD><xtag-property name="<xtag-env-param-name>CPU Name</xtag-env-param-name>"><xtag-env-param-value>Intel(R) Core(TM) i7 CPU         950  @ 3.07GHz</xtag-env-param-value></xtag-property></TD>
49
<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>CPU Speed</xtag-env-param-name></B></TD>
50
<TD><xtag-property name="<xtag-env-param-name>CPU Speed</xtag-env-param-name>"><xtag-env-param-value>3066 MHz</xtag-env-param-value></xtag-property></TD>
51
</TR>
52
<TR ALIGN=LEFT>
53
<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>OS Name</xtag-env-param-name></B></TD>
54
<TD><xtag-property name="<xtag-env-param-name>OS Name</xtag-env-param-name>"><xtag-env-param-value>Microsoft Windows 7 , 32-bit</xtag-env-param-value></xtag-property></TD>
55
<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>OS Release</xtag-env-param-name></B></TD>
56
<TD><xtag-property name="<xtag-env-param-name>OS Release</xtag-env-param-name>"><xtag-env-param-value>Service Pack 1  (build 7601)</xtag-env-param-value></xtag-property></TD>
57
</TR>
58
<TR ALIGN=LEFT>
59
<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>CPU Name</xtag-env-param-name></B></TD>
60
<TD><xtag-property name="<xtag-env-param-name>CPU Name</xtag-env-param-name>"><xtag-env-param-value>Intel(R) Core(TM) i7 CPU         950  @ 3.07GHz</xtag-env-param-value></xtag-property></TD>
61
<TD BGCOLOR='#FFFF99'><B><xtag-env-param-name>CPU Speed</xtag-env-param-name></B></TD>
62
<TD><xtag-property name="<xtag-env-param-name>CPU Speed</xtag-env-param-name>"><xtag-env-param-value>3066 MHz</xtag-env-param-value></xtag-property></TD>
63
</TR>
64
</xtag-section></TABLE>
65
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
66
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=4><B>Device Usage Statistics</B></TD></TR>
67
<TR ALIGN=CENTER BGCOLOR='#FFFF99'><TD><B>Macro Statistics</B></TD><TD><B>Miscellaneous Statistics</B></TD><TD><B>Net Statistics</B></TD><TD><B>Site Usage</B></TD></TR><TR VALIGN=TOP>
68
<xtag-section name="MacroStatistics">
69
<TD>
70
<xtag-group><xtag-group-name name="Adders/Subtractors=2">Adders/Subtractors=2</xtag-group-name>
71
<UL>
72
<LI><xtag-item1>4-bit subtractor=2</xtag-item1></LI>
73
</UL>
74
</xtag-group>
75
<xtag-group><xtag-group-name name="Comparators=14">Comparators=14</xtag-group-name>
76
<UL>
77
<LI><xtag-item1>4-bit comparator greater=8</xtag-item1></LI>
78
<LI><xtag-item1>6-bit comparator equal=1</xtag-item1></LI>
79
<LI><xtag-item1>6-bit comparator not equal=2</xtag-item1></LI>
80
<LI><xtag-item1>8-bit comparator equal=1</xtag-item1></LI>
81
<LI><xtag-item1>8-bit comparator not equal=2</xtag-item1></LI>
82
</UL>
83
</xtag-group>
84
<xtag-group><xtag-group-name name="Counters=5">Counters=5</xtag-group-name>
85
<UL>
86
<LI><xtag-item1>1-bit up counter=3</xtag-item1></LI>
87
<LI><xtag-item1>14-bit up counter=1</xtag-item1></LI>
88
<LI><xtag-item1>16-bit up counter=1</xtag-item1></LI>
89
</UL>
90
</xtag-group>
91
<xtag-group><xtag-group-name name="FSMs=1">FSMs=1</xtag-group-name>
92
</xtag-group>
93
<xtag-group><xtag-group-name name="Multiplexers=42">Multiplexers=42</xtag-group-name>
94
<UL>
95
<LI><xtag-item1>1-bit 2-to-1 multiplexer=21</xtag-item1></LI>
96
<LI><xtag-item1>4-bit 2-to-1 multiplexer=13</xtag-item1></LI>
97
<LI><xtag-item1>8-bit 2-to-1 multiplexer=8</xtag-item1></LI>
98
</UL>
99
</xtag-group>
100
<xtag-group><xtag-group-name name="Registers=171">Registers=171</xtag-group-name>
101
<UL>
102
<LI><xtag-item1>Flip-Flops=171</xtag-item1></LI>
103
</UL>
104
</xtag-group>
105
</TD>
106
</xtag-section>
107
<xtag-section name="DesignStatistics">
108
<TD>
109
<xtag-group><xtag-group-name name="MiscellaneousStatistics">MiscellaneousStatistics</xtag-group-name>
110
<UL>
111
<LI><xtag-item1>AGG_BONDED_IO=39</xtag-item1></LI>
112
<LI><xtag-item1>AGG_IO=39</xtag-item1></LI>
113
<LI><xtag-item1>AGG_LOCED_IO=39</xtag-item1></LI>
114
<LI><xtag-item1>AGG_SLICE=70</xtag-item1></LI>
115
<LI><xtag-item1>NUM_BONDED_IOB=39</xtag-item1></LI>
116
<LI><xtag-item1>NUM_BSFULL=76</xtag-item1></LI>
117
<LI><xtag-item1>NUM_BSLUTONLY=41</xtag-item1></LI>
118
<LI><xtag-item1>NUM_BSREGONLY=78</xtag-item1></LI>
119
<LI><xtag-item1>NUM_BSUSED=195</xtag-item1></LI>
120
<LI><xtag-item1>NUM_BUFG=2</xtag-item1></LI>
121
<LI><xtag-item1>NUM_IOB_FF=9</xtag-item1></LI>
122
<LI><xtag-item1>NUM_LOCED_IOB=39</xtag-item1></LI>
123
<LI><xtag-item1>NUM_LOGIC_O5ANDO6=32</xtag-item1></LI>
124
<LI><xtag-item1>NUM_LOGIC_O5ONLY=27</xtag-item1></LI>
125
<LI><xtag-item1>NUM_LOGIC_O6ONLY=48</xtag-item1></LI>
126
<LI><xtag-item1>NUM_LUT_RT_DRIVES_CARRY4=2</xtag-item1></LI>
127
<LI><xtag-item1>NUM_LUT_RT_DRIVES_FLOP=6</xtag-item1></LI>
128
<LI><xtag-item1>NUM_LUT_RT_EXO5=6</xtag-item1></LI>
129
<LI><xtag-item1>NUM_LUT_RT_EXO6=2</xtag-item1></LI>
130
<LI><xtag-item1>NUM_LUT_RT_O6=26</xtag-item1></LI>
131
<LI><xtag-item1>NUM_OLOGIC2=9</xtag-item1></LI>
132
<LI><xtag-item1>NUM_SLICEL=8</xtag-item1></LI>
133
<LI><xtag-item1>NUM_SLICEM=1</xtag-item1></LI>
134
<LI><xtag-item1>NUM_SLICEX=61</xtag-item1></LI>
135
<LI><xtag-item1>NUM_SLICE_CARRY4=8</xtag-item1></LI>
136
<LI><xtag-item1>NUM_SLICE_CONTROLSET=20</xtag-item1></LI>
137
<LI><xtag-item1>NUM_SLICE_CYINIT=177</xtag-item1></LI>
138
<LI><xtag-item1>NUM_SLICE_FF=171</xtag-item1></LI>
139
<LI><xtag-item1>NUM_SLICE_UNUSEDCTRL=12</xtag-item1></LI>
140
<LI><xtag-item1>NUM_SRL_O6ONLY=2</xtag-item1></LI>
141
<LI><xtag-item1>NUM_UNUSABLE_FF_BELS=51</xtag-item1></LI>
142
</UL>
143
</xtag-group>
144
</TD>
145
<TD>
146
<xtag-group><xtag-group-name name="NetStatistics">NetStatistics</xtag-group-name>
147
<UL>
148
<LI><xtag-item1>NumNets_Active=311</xtag-item1></LI>
149
<LI><xtag-item1>NumNets_Gnd=1</xtag-item1></LI>
150
<LI><xtag-item1>NumNets_Vcc=1</xtag-item1></LI>
151
<LI><xtag-item1>NumNodesOfType_Active_BOUNCEACROSS=4</xtag-item1></LI>
152
<LI><xtag-item1>NumNodesOfType_Active_BOUNCEIN=23</xtag-item1></LI>
153
<LI><xtag-item1>NumNodesOfType_Active_BUFGOUT=2</xtag-item1></LI>
154
<LI><xtag-item1>NumNodesOfType_Active_BUFHINP2OUT=11</xtag-item1></LI>
155
<LI><xtag-item1>NumNodesOfType_Active_CLKPIN=67</xtag-item1></LI>
156
<LI><xtag-item1>NumNodesOfType_Active_CLKPINFEED=15</xtag-item1></LI>
157
<LI><xtag-item1>NumNodesOfType_Active_CNTRLPIN=35</xtag-item1></LI>
158
<LI><xtag-item1>NumNodesOfType_Active_DOUBLE=253</xtag-item1></LI>
159
<LI><xtag-item1>NumNodesOfType_Active_GENERIC=53</xtag-item1></LI>
160
<LI><xtag-item1>NumNodesOfType_Active_GLOBAL=78</xtag-item1></LI>
161
<LI><xtag-item1>NumNodesOfType_Active_INPUT=10</xtag-item1></LI>
162
<LI><xtag-item1>NumNodesOfType_Active_IOBIN2OUT=38</xtag-item1></LI>
163
<LI><xtag-item1>NumNodesOfType_Active_IOBOUTPUT=38</xtag-item1></LI>
164
<LI><xtag-item1>NumNodesOfType_Active_LUTINPUT=417</xtag-item1></LI>
165
<LI><xtag-item1>NumNodesOfType_Active_OUTBOUND=268</xtag-item1></LI>
166
<LI><xtag-item1>NumNodesOfType_Active_OUTPUT=257</xtag-item1></LI>
167
<LI><xtag-item1>NumNodesOfType_Active_PADINPUT=24</xtag-item1></LI>
168
<LI><xtag-item1>NumNodesOfType_Active_PADOUTPUT=15</xtag-item1></LI>
169
<LI><xtag-item1>NumNodesOfType_Active_PINBOUNCE=178</xtag-item1></LI>
170
<LI><xtag-item1>NumNodesOfType_Active_PINFEED=530</xtag-item1></LI>
171
<LI><xtag-item1>NumNodesOfType_Active_PINFEED2=9</xtag-item1></LI>
172
<LI><xtag-item1>NumNodesOfType_Active_QUAD=423</xtag-item1></LI>
173
<LI><xtag-item1>NumNodesOfType_Active_REGINPUT=90</xtag-item1></LI>
174
<LI><xtag-item1>NumNodesOfType_Active_SINGLE=350</xtag-item1></LI>
175
<LI><xtag-item1>NumNodesOfType_Vcc_CNTRLPIN=1</xtag-item1></LI>
176
<LI><xtag-item1>NumNodesOfType_Vcc_HVCCOUT=22</xtag-item1></LI>
177
<LI><xtag-item1>NumNodesOfType_Vcc_KVCCOUT=2</xtag-item1></LI>
178
<LI><xtag-item1>NumNodesOfType_Vcc_LUTINPUT=62</xtag-item1></LI>
179
<LI><xtag-item1>NumNodesOfType_Vcc_PINBOUNCE=2</xtag-item1></LI>
180
<LI><xtag-item1>NumNodesOfType_Vcc_PINFEED=62</xtag-item1></LI>
181
<LI><xtag-item1>NumNodesOfType_Vcc_REGINPUT=1</xtag-item1></LI>
182
</UL>
183
</xtag-group>
184
<xtag-group><xtag-group-name name="SiteStatistics">SiteStatistics</xtag-group-name>
185
<UL>
186
<LI><xtag-item1>BUFG-BUFGMUX=2</xtag-item1></LI>
187
<LI><xtag-item1>IOB-IOBM=20</xtag-item1></LI>
188
<LI><xtag-item1>IOB-IOBS=19</xtag-item1></LI>
189
<LI><xtag-item1>SLICEL-SLICEM=4</xtag-item1></LI>
190
<LI><xtag-item1>SLICEX-SLICEL=17</xtag-item1></LI>
191
<LI><xtag-item1>SLICEX-SLICEM=8</xtag-item1></LI>
192
</UL>
193
</xtag-group>
194
</TD>
195
</xtag-section>
196
<xtag-section name="DeviceUsage">
197
<TD>
198
<xtag-group><xtag-group-name name="SiteSummary">SiteSummary</xtag-group-name>
199
<UL>
200
<LI><xtag-item2>BUFG=2</xtag-item2></LI>
201
<LI><xtag-item2>BUFG_BUFG=2</xtag-item2></LI>
202
<LI><xtag-item2>CARRY4=8</xtag-item2></LI>
203
<LI><xtag-item2>FF_SR=30</xtag-item2></LI>
204
<LI><xtag-item2>HARD0=2</xtag-item2></LI>
205
<LI><xtag-item2>IOB=39</xtag-item2></LI>
206
<LI><xtag-item2>IOB_IMUX=15</xtag-item2></LI>
207
<LI><xtag-item2>IOB_INBUF=15</xtag-item2></LI>
208
<LI><xtag-item2>IOB_OUTBUF=24</xtag-item2></LI>
209
<LI><xtag-item2>LUT5=65</xtag-item2></LI>
210
<LI><xtag-item2>LUT6=108</xtag-item2></LI>
211
<LI><xtag-item2>LUT_OR_MEM6=2</xtag-item2></LI>
212
<LI><xtag-item2>OLOGIC2=9</xtag-item2></LI>
213
<LI><xtag-item2>OLOGIC2_OUTFF=9</xtag-item2></LI>
214
<LI><xtag-item2>PAD=39</xtag-item2></LI>
215
<LI><xtag-item2>REG_SR=141</xtag-item2></LI>
216
<LI><xtag-item2>SLICEL=8</xtag-item2></LI>
217
<LI><xtag-item2>SLICEM=1</xtag-item2></LI>
218
<LI><xtag-item2>SLICEX=61</xtag-item2></LI>
219
</UL>
220
</xtag-group>
221
</TD>
222
</xtag-section>
223
</TR></TABLE>
224
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
225
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=4><B>Configuration Data</B></TD></TR><TR VALIGN=TOP>
226
<xtag-section name="ReportConfigData">
227
<TD>
228
<xtag-group><xtag-group-name name="FF_SR">FF_SR</xtag-group-name>
229
<UL>
230
<LI><xtag-item3>CK=[CK:26] [CK_INV:4]</xtag-item3></LI>
231
<LI><xtag-item3>SRINIT=[SRINIT0:30]</xtag-item3></LI>
232
<LI><xtag-item3>SYNC_ATTR=[ASYNC:28] [SYNC:2]</xtag-item3></LI>
233
</UL>
234
</xtag-group>
235
<xtag-group><xtag-group-name name="IOB_OUTBUF">IOB_OUTBUF</xtag-group-name>
236
<UL>
237
<LI><xtag-item3>DRIVEATTRBOX=[12:24]</xtag-item3></LI>
238
<LI><xtag-item3>SLEW=[SLOW:24]</xtag-item3></LI>
239
<LI><xtag-item3>SUSPEND=[3STATE:24]</xtag-item3></LI>
240
</UL>
241
</TD>
242
<TD>
243
</xtag-group>
244
<xtag-group><xtag-group-name name="LUT_OR_MEM5">LUT_OR_MEM5</xtag-group-name>
245
<UL>
246
<LI><xtag-item3>LUT_OR_MEM=[LUT:1]</xtag-item3></LI>
247
</UL>
248
</xtag-group>
249
<xtag-group><xtag-group-name name="LUT_OR_MEM6">LUT_OR_MEM6</xtag-group-name>
250
<UL>
251
<LI><xtag-item3>CLK=[CLK:2] [CLK_INV:0]</xtag-item3></LI>
252
<LI><xtag-item3>LUT_OR_MEM=[RAM:2]</xtag-item3></LI>
253
<LI><xtag-item3>RAMMODE=[SRL16:2]</xtag-item3></LI>
254
</UL>
255
</xtag-group>
256
<xtag-group><xtag-group-name name="OLOGIC2">OLOGIC2</xtag-group-name>
257
<UL>
258
<LI><xtag-item3>CLK0=[CLK0_INV:0] [CLK0:9]</xtag-item3></LI>
259
</UL>
260
</TD>
261
<TD>
262
</xtag-group>
263
<xtag-group><xtag-group-name name="OLOGIC2_OUTFF">OLOGIC2_OUTFF</xtag-group-name>
264
<UL>
265
<LI><xtag-item3>CK0=[CK0_INV:0] [CK0:9]</xtag-item3></LI>
266
<LI><xtag-item3>OUTFFTYPE=[FF:9]</xtag-item3></LI>
267
<LI><xtag-item3>SRINIT_OQ=[0:9]</xtag-item3></LI>
268
</UL>
269
</xtag-group>
270
<xtag-group><xtag-group-name name="REG_SR">REG_SR</xtag-group-name>
271
<UL>
272
<LI><xtag-item3>CK=[CK:125] [CK_INV:16]</xtag-item3></LI>
273
<LI><xtag-item3>LATCH_OR_FF=[FF:141]</xtag-item3></LI>
274
<LI><xtag-item3>SRINIT=[SRINIT0:139] [SRINIT1:2]</xtag-item3></LI>
275
<LI><xtag-item3>SYNC_ATTR=[ASYNC:121] [SYNC:20]</xtag-item3></LI>
276
</UL>
277
</TD>
278
<TD>
279
</xtag-group>
280
<xtag-group><xtag-group-name name="SLICEL">SLICEL</xtag-group-name>
281
<UL>
282
<LI><xtag-item3>CLK=[CLK:5] [CLK_INV:0]</xtag-item3></LI>
283
</UL>
284
</xtag-group>
285
<xtag-group><xtag-group-name name="SLICEM">SLICEM</xtag-group-name>
286
<UL>
287
<LI><xtag-item3>CLK=[CLK:1] [CLK_INV:0]</xtag-item3></LI>
288
</UL>
289
</xtag-group>
290
<xtag-group><xtag-group-name name="SLICEX">SLICEX</xtag-group-name>
291
<UL>
292
<LI><xtag-item3>CLK=[CLK:46] [CLK_INV:6]</xtag-item3></LI>
293
</UL>
294
</xtag-group>
295
</TD>
296
</xtag-section>
297
</TR></TABLE>
298
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
299
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=4><B>Pin Data</B></TD></TR><TR VALIGN=TOP>
300
<xtag-section name="ReportConfigData">
301
<TD>
302
<xtag-group><xtag-group-name name="BUFG">BUFG</xtag-group-name>
303
<UL>
304
<LI><xtag-item1>I0=2</xtag-item1></LI>
305
<LI><xtag-item1>O=2</xtag-item1></LI>
306
</UL>
307
</xtag-group>
308
<xtag-group><xtag-group-name name="BUFG_BUFG">BUFG_BUFG</xtag-group-name>
309
<UL>
310
<LI><xtag-item1>I0=2</xtag-item1></LI>
311
<LI><xtag-item1>O=2</xtag-item1></LI>
312
</UL>
313
</xtag-group>
314
<xtag-group><xtag-group-name name="CARRY4">CARRY4</xtag-group-name>
315
<UL>
316
<LI><xtag-item1>CIN=6</xtag-item1></LI>
317
<LI><xtag-item1>CO3=6</xtag-item1></LI>
318
<LI><xtag-item1>CYINIT=2</xtag-item1></LI>
319
<LI><xtag-item1>DI0=8</xtag-item1></LI>
320
<LI><xtag-item1>DI1=7</xtag-item1></LI>
321
<LI><xtag-item1>DI2=7</xtag-item1></LI>
322
<LI><xtag-item1>DI3=6</xtag-item1></LI>
323
<LI><xtag-item1>O0=8</xtag-item1></LI>
324
<LI><xtag-item1>O1=8</xtag-item1></LI>
325
<LI><xtag-item1>O2=7</xtag-item1></LI>
326
<LI><xtag-item1>O3=7</xtag-item1></LI>
327
<LI><xtag-item1>S0=8</xtag-item1></LI>
328
<LI><xtag-item1>S1=8</xtag-item1></LI>
329
<LI><xtag-item1>S2=7</xtag-item1></LI>
330
<LI><xtag-item1>S3=7</xtag-item1></LI>
331
</UL>
332
</xtag-group>
333
<xtag-group><xtag-group-name name="FF_SR">FF_SR</xtag-group-name>
334
<UL>
335
<LI><xtag-item1>CE=14</xtag-item1></LI>
336
<LI><xtag-item1>CK=30</xtag-item1></LI>
337
<LI><xtag-item1>D=30</xtag-item1></LI>
338
<LI><xtag-item1>Q=30</xtag-item1></LI>
339
<LI><xtag-item1>SR=4</xtag-item1></LI>
340
</UL>
341
</xtag-group>
342
<xtag-group><xtag-group-name name="HARD0">HARD0</xtag-group-name>
343
<UL>
344
<LI><xtag-item1>0=2</xtag-item1></LI>
345
</UL>
346
</xtag-group>
347
<xtag-group><xtag-group-name name="IOB">IOB</xtag-group-name>
348
<UL>
349
<LI><xtag-item1>I=15</xtag-item1></LI>
350
<LI><xtag-item1>O=24</xtag-item1></LI>
351
<LI><xtag-item1>PAD=39</xtag-item1></LI>
352
</UL>
353
</xtag-group>
354
<xtag-group><xtag-group-name name="IOB_IMUX">IOB_IMUX</xtag-group-name>
355
<UL>
356
<LI><xtag-item1>I=15</xtag-item1></LI>
357
<LI><xtag-item1>OUT=15</xtag-item1></LI>
358
</UL>
359
</xtag-group>
360
<xtag-group><xtag-group-name name="IOB_INBUF">IOB_INBUF</xtag-group-name>
361
<UL>
362
<LI><xtag-item1>OUT=15</xtag-item1></LI>
363
<LI><xtag-item1>PAD=15</xtag-item1></LI>
364
</UL>
365
</xtag-group>
366
<xtag-group><xtag-group-name name="IOB_OUTBUF">IOB_OUTBUF</xtag-group-name>
367
<UL>
368
<LI><xtag-item1>IN=24</xtag-item1></LI>
369
<LI><xtag-item1>OUT=24</xtag-item1></LI>
370
</UL>
371
</xtag-group>
372
<xtag-group><xtag-group-name name="LUT5">LUT5</xtag-group-name>
373
<UL>
374
<LI><xtag-item1>A1=15</xtag-item1></LI>
375
<LI><xtag-item1>A2=23</xtag-item1></LI>
376
<LI><xtag-item1>A3=14</xtag-item1></LI>
377
<LI><xtag-item1>A4=16</xtag-item1></LI>
378
<LI><xtag-item1>A5=19</xtag-item1></LI>
379
<LI><xtag-item1>O5=65</xtag-item1></LI>
380
</UL>
381
</xtag-group>
382
<xtag-group><xtag-group-name name="LUT6">LUT6</xtag-group-name>
383
<UL>
384
<LI><xtag-item1>A1=39</xtag-item1></LI>
385
<LI><xtag-item1>A2=58</xtag-item1></LI>
386
<LI><xtag-item1>A3=69</xtag-item1></LI>
387
<LI><xtag-item1>A4=90</xtag-item1></LI>
388
<LI><xtag-item1>A5=91</xtag-item1></LI>
389
<LI><xtag-item1>A6=107</xtag-item1></LI>
390
<LI><xtag-item1>O6=108</xtag-item1></LI>
391
</UL>
392
</TD>
393
<TD>
394
</xtag-group>
395
<xtag-group><xtag-group-name name="LUT_OR_MEM5">LUT_OR_MEM5</xtag-group-name>
396
<UL>
397
<LI><xtag-item1>A3=1</xtag-item1></LI>
398
<LI><xtag-item1>A4=1</xtag-item1></LI>
399
<LI><xtag-item1>A5=1</xtag-item1></LI>
400
<LI><xtag-item1>O5=1</xtag-item1></LI>
401
</UL>
402
</xtag-group>
403
<xtag-group><xtag-group-name name="LUT_OR_MEM6">LUT_OR_MEM6</xtag-group-name>
404
<UL>
405
<LI><xtag-item1>A1=2</xtag-item1></LI>
406
<LI><xtag-item1>A2=2</xtag-item1></LI>
407
<LI><xtag-item1>A3=2</xtag-item1></LI>
408
<LI><xtag-item1>A4=2</xtag-item1></LI>
409
<LI><xtag-item1>A5=2</xtag-item1></LI>
410
<LI><xtag-item1>A6=2</xtag-item1></LI>
411
<LI><xtag-item1>CLK=2</xtag-item1></LI>
412
<LI><xtag-item1>DI2=2</xtag-item1></LI>
413
<LI><xtag-item1>O6=2</xtag-item1></LI>
414
<LI><xtag-item1>WE=2</xtag-item1></LI>
415
</UL>
416
</xtag-group>
417
<xtag-group><xtag-group-name name="OLOGIC2">OLOGIC2</xtag-group-name>
418
<UL>
419
<LI><xtag-item1>CLK0=9</xtag-item1></LI>
420
<LI><xtag-item1>D1=9</xtag-item1></LI>
421
<LI><xtag-item1>OQ=9</xtag-item1></LI>
422
</UL>
423
</xtag-group>
424
<xtag-group><xtag-group-name name="OLOGIC2_OUTFF">OLOGIC2_OUTFF</xtag-group-name>
425
<UL>
426
<LI><xtag-item1>CK0=9</xtag-item1></LI>
427
<LI><xtag-item1>D1=9</xtag-item1></LI>
428
<LI><xtag-item1>Q=9</xtag-item1></LI>
429
</UL>
430
</xtag-group>
431
<xtag-group><xtag-group-name name="PAD">PAD</xtag-group-name>
432
<UL>
433
<LI><xtag-item1>PAD=39</xtag-item1></LI>
434
</UL>
435
</xtag-group>
436
<xtag-group><xtag-group-name name="REG_SR">REG_SR</xtag-group-name>
437
<UL>
438
<LI><xtag-item1>CE=69</xtag-item1></LI>
439
<LI><xtag-item1>CK=141</xtag-item1></LI>
440
<LI><xtag-item1>D=141</xtag-item1></LI>
441
<LI><xtag-item1>Q=141</xtag-item1></LI>
442
<LI><xtag-item1>SR=23</xtag-item1></LI>
443
</UL>
444
</xtag-group>
445
<xtag-group><xtag-group-name name="SELMUX2_1">SELMUX2_1</xtag-group-name>
446
<UL>
447
<LI><xtag-item1>0=2</xtag-item1></LI>
448
<LI><xtag-item1>1=2</xtag-item1></LI>
449
<LI><xtag-item1>OUT=2</xtag-item1></LI>
450
<LI><xtag-item1>S0=2</xtag-item1></LI>
451
</UL>
452
</xtag-group>
453
<xtag-group><xtag-group-name name="SLICEL">SLICEL</xtag-group-name>
454
<UL>
455
<LI><xtag-item1>A4=3</xtag-item1></LI>
456
<LI><xtag-item1>A5=5</xtag-item1></LI>
457
<LI><xtag-item1>A6=8</xtag-item1></LI>
458
<LI><xtag-item1>AMUX=5</xtag-item1></LI>
459
<LI><xtag-item1>AQ=4</xtag-item1></LI>
460
<LI><xtag-item1>AX=1</xtag-item1></LI>
461
<LI><xtag-item1>B4=4</xtag-item1></LI>
462
<LI><xtag-item1>B5=3</xtag-item1></LI>
463
<LI><xtag-item1>B6=8</xtag-item1></LI>
464
<LI><xtag-item1>BMUX=4</xtag-item1></LI>
465
<LI><xtag-item1>BQ=5</xtag-item1></LI>
466
<LI><xtag-item1>BX=1</xtag-item1></LI>
467
<LI><xtag-item1>C4=4</xtag-item1></LI>
468
<LI><xtag-item1>C5=3</xtag-item1></LI>
469
<LI><xtag-item1>C6=7</xtag-item1></LI>
470
<LI><xtag-item1>CIN=6</xtag-item1></LI>
471
<LI><xtag-item1>CLK=5</xtag-item1></LI>
472
<LI><xtag-item1>CMUX=3</xtag-item1></LI>
473
<LI><xtag-item1>COUT=6</xtag-item1></LI>
474
<LI><xtag-item1>CQ=5</xtag-item1></LI>
475
<LI><xtag-item1>CX=1</xtag-item1></LI>
476
<LI><xtag-item1>D=1</xtag-item1></LI>
477
<LI><xtag-item1>D1=1</xtag-item1></LI>
478
<LI><xtag-item1>D2=1</xtag-item1></LI>
479
<LI><xtag-item1>D3=1</xtag-item1></LI>
480
<LI><xtag-item1>D4=5</xtag-item1></LI>
481
<LI><xtag-item1>D5=4</xtag-item1></LI>
482
<LI><xtag-item1>D6=7</xtag-item1></LI>
483
<LI><xtag-item1>DMUX=3</xtag-item1></LI>
484
<LI><xtag-item1>DQ=5</xtag-item1></LI>
485
<LI><xtag-item1>DX=1</xtag-item1></LI>
486
<LI><xtag-item1>SR=4</xtag-item1></LI>
487
</UL>
488
</TD>
489
<TD>
490
</xtag-group>
491
<xtag-group><xtag-group-name name="SLICEM">SLICEM</xtag-group-name>
492
<UL>
493
<LI><xtag-item1>C1=1</xtag-item1></LI>
494
<LI><xtag-item1>C2=1</xtag-item1></LI>
495
<LI><xtag-item1>C3=1</xtag-item1></LI>
496
<LI><xtag-item1>C4=1</xtag-item1></LI>
497
<LI><xtag-item1>C5=1</xtag-item1></LI>
498
<LI><xtag-item1>C6=1</xtag-item1></LI>
499
<LI><xtag-item1>CE=1</xtag-item1></LI>
500
<LI><xtag-item1>CI=1</xtag-item1></LI>
501
<LI><xtag-item1>CLK=1</xtag-item1></LI>
502
<LI><xtag-item1>CQ=1</xtag-item1></LI>
503
<LI><xtag-item1>D1=1</xtag-item1></LI>
504
<LI><xtag-item1>D2=1</xtag-item1></LI>
505
<LI><xtag-item1>D3=1</xtag-item1></LI>
506
<LI><xtag-item1>D4=1</xtag-item1></LI>
507
<LI><xtag-item1>D5=1</xtag-item1></LI>
508
<LI><xtag-item1>D6=1</xtag-item1></LI>
509
<LI><xtag-item1>DI=1</xtag-item1></LI>
510
<LI><xtag-item1>DQ=1</xtag-item1></LI>
511
</UL>
512
</xtag-group>
513
<xtag-group><xtag-group-name name="SLICEX">SLICEX</xtag-group-name>
514
<UL>
515
<LI><xtag-item1>A=20</xtag-item1></LI>
516
<LI><xtag-item1>A1=16</xtag-item1></LI>
517
<LI><xtag-item1>A2=19</xtag-item1></LI>
518
<LI><xtag-item1>A3=24</xtag-item1></LI>
519
<LI><xtag-item1>A4=26</xtag-item1></LI>
520
<LI><xtag-item1>A5=27</xtag-item1></LI>
521
<LI><xtag-item1>A6=27</xtag-item1></LI>
522
<LI><xtag-item1>AMUX=11</xtag-item1></LI>
523
<LI><xtag-item1>AQ=32</xtag-item1></LI>
524
<LI><xtag-item1>AX=24</xtag-item1></LI>
525
<LI><xtag-item1>B=7</xtag-item1></LI>
526
<LI><xtag-item1>B1=10</xtag-item1></LI>
527
<LI><xtag-item1>B2=17</xtag-item1></LI>
528
<LI><xtag-item1>B3=17</xtag-item1></LI>
529
<LI><xtag-item1>B4=18</xtag-item1></LI>
530
<LI><xtag-item1>B5=17</xtag-item1></LI>
531
<LI><xtag-item1>B6=17</xtag-item1></LI>
532
<LI><xtag-item1>BMUX=7</xtag-item1></LI>
533
<LI><xtag-item1>BQ=32</xtag-item1></LI>
534
<LI><xtag-item1>BX=22</xtag-item1></LI>
535
<LI><xtag-item1>C=8</xtag-item1></LI>
536
<LI><xtag-item1>C1=6</xtag-item1></LI>
537
<LI><xtag-item1>C2=12</xtag-item1></LI>
538
<LI><xtag-item1>C3=14</xtag-item1></LI>
539
<LI><xtag-item1>C4=15</xtag-item1></LI>
540
<LI><xtag-item1>C5=16</xtag-item1></LI>
541
<LI><xtag-item1>C6=15</xtag-item1></LI>
542
<LI><xtag-item1>CE=25</xtag-item1></LI>
543
<LI><xtag-item1>CLK=52</xtag-item1></LI>
544
<LI><xtag-item1>CMUX=9</xtag-item1></LI>
545
<LI><xtag-item1>CQ=27</xtag-item1></LI>
546
<LI><xtag-item1>CX=19</xtag-item1></LI>
547
<LI><xtag-item1>D=11</xtag-item1></LI>
548
<LI><xtag-item1>D1=11</xtag-item1></LI>
549
<LI><xtag-item1>D2=15</xtag-item1></LI>
550
<LI><xtag-item1>D3=16</xtag-item1></LI>
551
<LI><xtag-item1>D4=19</xtag-item1></LI>
552
<LI><xtag-item1>D5=19</xtag-item1></LI>
553
<LI><xtag-item1>D6=18</xtag-item1></LI>
554
<LI><xtag-item1>DMUX=10</xtag-item1></LI>
555
<LI><xtag-item1>DQ=29</xtag-item1></LI>
556
<LI><xtag-item1>DX=22</xtag-item1></LI>
557
<LI><xtag-item1>SR=6</xtag-item1></LI>
558
</UL>
559
</TD>
560
<TD>
561
</xtag-group>
562
</TD>
563
</xtag-section>
564
</TR></TABLE>
565
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
566
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD><B>Tool Usage</B></TD></TR>
567
<TR VALIGN=TOP><TD ALIGN=LEFT>Command Line History<xtag-section name="CommandLineLog"><UL>
568
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
569
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
570
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
571
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
572
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
573
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
574
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
575
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
576
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
577
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
578
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
579
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
580
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
581
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
582
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
583
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
584
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
585
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
586
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
587
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
588
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
589
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
590
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
591
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
592
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
593
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
594
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
595
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
596
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
597
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
598
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
599
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
600
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
601
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
602
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
603
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
604
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
605
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
606
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
607
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
608
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
609
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
610
<LI><xtag-cmdline>netgen -intstyle ise -ar Structure -tm &lt;design&gt; -w -dir netgen/synthesis -ofmt vhdl -sim &lt;fname&gt;.ngc &lt;fname&gt;.vhd</xtag-cmdline></LI>
611
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
612
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
613
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
614
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
615
<LI><xtag-cmdline>netgen -intstyle ise -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -w -dir netgen/translate -ofmt vhdl -sim &lt;fname&gt;.ngd &lt;fname&gt;.vhd</xtag-cmdline></LI>
616
<LI><xtag-cmdline>netgen -intstyle ise -s 2  -pcf &lt;fname&gt;.pcf -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -w -dir netgen/map -ofmt vhdl -sim &lt;fname&gt;.ncd &lt;fname&gt;.vhd</xtag-cmdline></LI>
617
<LI><xtag-cmdline>netgen -intstyle ise -s 2  -pcf &lt;fname&gt;.pcf -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -insert_pp_buffers true -w -dir netgen/par -ofmt vhdl -sim &lt;fname&gt;.ncd &lt;fname&gt;.vhd</xtag-cmdline></LI>
618
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
619
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
620
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
621
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
622
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
623
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
624
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
625
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
626
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
627
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
628
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
629
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
630
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
631
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
632
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
633
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
634
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
635
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
636
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
637
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
638
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
639
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
640
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
641
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
642
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
643
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
644
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
645
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
646
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
647
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
648
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
649
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
650
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
651
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
652
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
653
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
654
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
655
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
656
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
657
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
658
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
659
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
660
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
661
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
662
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
663
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
664
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
665
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
666
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
667
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
668
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
669
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
670
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
671
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
672
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
673
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
674
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
675
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
676
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
677
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
678
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
679
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
680
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
681
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
682
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
683
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
684
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
685
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
686
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
687
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
688
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
689
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
690
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
691
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
692
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
693
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
694
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
695
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
696
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
697
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
698
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
699
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
700
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
701
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
702
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
703
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
704
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
705
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
706
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
707
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
708
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
709
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
710
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
711
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
712
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
713
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
714
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
715
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
716
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
717
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
718
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
719
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
720
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
721
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
722
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
723
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
724
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
725
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
726
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
727
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
728
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
729
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
730
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
731
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
732
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
733
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
734
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
735
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
736
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
737
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
738
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
739
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
740
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
741
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
742
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
743
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
744
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
745
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
746
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
747
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
748
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
749
</xtag-section></UL></TD></TR>
750
</TABLE>
751
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'><xtag-section name="RunStatistics"><TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=8><B>Software Quality</B></TD></TR><TR ALIGN=LEFT><TD COLSPAN=8><B>Run Statistics</B></TD></TR>
752
<tr>
753
  <td><xtag-program-name>XSLTProcess</xtag-program-name></td>
754
  <td><xtag-total-run-started>1</xtag-total-run-started></td>
755
  <td><xtag-total-run-finished>1</xtag-total-run-finished></td>
756
  <td><xtag-total-error>0</xtag-total-error></td>
757
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
758
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
759
  <td><xtag-total-exception>0</xtag-total-exception></td>
760
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
761
</tr>
762
<tr>
763
  <td><xtag-program-name>_impact</xtag-program-name></td>
764
  <td><xtag-total-run-started>3</xtag-total-run-started></td>
765
  <td><xtag-total-run-finished>3</xtag-total-run-finished></td>
766
  <td><xtag-total-error>0</xtag-total-error></td>
767
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
768
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
769
  <td><xtag-total-exception>0</xtag-total-exception></td>
770
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
771
</tr>
772
<tr>
773
  <td><xtag-program-name>bitgen</xtag-program-name></td>
774
  <td><xtag-total-run-started>137</xtag-total-run-started></td>
775
  <td><xtag-total-run-finished>137</xtag-total-run-finished></td>
776
  <td><xtag-total-error>0</xtag-total-error></td>
777
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
778
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
779
  <td><xtag-total-exception>0</xtag-total-exception></td>
780
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
781
</tr>
782
<tr>
783
  <td><xtag-program-name>compxlib</xtag-program-name></td>
784
  <td><xtag-total-run-started>2</xtag-total-run-started></td>
785
  <td><xtag-total-run-finished>2</xtag-total-run-finished></td>
786
  <td><xtag-total-error>0</xtag-total-error></td>
787
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
788
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
789
  <td><xtag-total-exception>0</xtag-total-exception></td>
790
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
791
</tr>
792
<tr>
793
  <td><xtag-program-name>cpldfit</xtag-program-name></td>
794
  <td><xtag-total-run-started>1</xtag-total-run-started></td>
795
  <td><xtag-total-run-finished>1</xtag-total-run-finished></td>
796
  <td><xtag-total-error>0</xtag-total-error></td>
797
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
798
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
799
  <td><xtag-total-exception>0</xtag-total-exception></td>
800
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
801
</tr>
802
<tr>
803
  <td><xtag-program-name>cse_server</xtag-program-name></td>
804
  <td><xtag-total-run-started>1</xtag-total-run-started></td>
805
  <td><xtag-total-run-finished>1</xtag-total-run-finished></td>
806
  <td><xtag-total-error>0</xtag-total-error></td>
807
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
808
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
809
  <td><xtag-total-exception>0</xtag-total-exception></td>
810
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
811
</tr>
812
<tr>
813
  <td><xtag-program-name>hprep6</xtag-program-name></td>
814
  <td><xtag-total-run-started>1</xtag-total-run-started></td>
815
  <td><xtag-total-run-finished>1</xtag-total-run-finished></td>
816
  <td><xtag-total-error>0</xtag-total-error></td>
817
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
818
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
819
  <td><xtag-total-exception>0</xtag-total-exception></td>
820
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
821
</tr>
822
<tr>
823
  <td><xtag-program-name>ibiswriter</xtag-program-name></td>
824
  <td><xtag-total-run-started>1</xtag-total-run-started></td>
825
  <td><xtag-total-run-finished>1</xtag-total-run-finished></td>
826
  <td><xtag-total-error>0</xtag-total-error></td>
827
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
828
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
829
  <td><xtag-total-exception>0</xtag-total-exception></td>
830
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
831
</tr>
832
<tr>
833
  <td><xtag-program-name>map</xtag-program-name></td>
834
  <td><xtag-total-run-started>444</xtag-total-run-started></td>
835
  <td><xtag-total-run-finished>429</xtag-total-run-finished></td>
836
  <td><xtag-total-error>0</xtag-total-error></td>
837
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
838
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
839
  <td><xtag-total-exception>0</xtag-total-exception></td>
840
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
841
</tr>
842
<tr>
843
  <td><xtag-program-name>netgen</xtag-program-name></td>
844
  <td><xtag-total-run-started>459</xtag-total-run-started></td>
845
  <td><xtag-total-run-finished>451</xtag-total-run-finished></td>
846
  <td><xtag-total-error>0</xtag-total-error></td>
847
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
848
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
849
  <td><xtag-total-exception>0</xtag-total-exception></td>
850
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
851
</tr>
852
<tr>
853
  <td><xtag-program-name>ngc2edif</xtag-program-name></td>
854
  <td><xtag-total-run-started>10</xtag-total-run-started></td>
855
  <td><xtag-total-run-finished>10</xtag-total-run-finished></td>
856
  <td><xtag-total-error>0</xtag-total-error></td>
857
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
858
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
859
  <td><xtag-total-exception>0</xtag-total-exception></td>
860
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
861
</tr>
862
<tr>
863
  <td><xtag-program-name>ngdbuild</xtag-program-name></td>
864
  <td><xtag-total-run-started>476</xtag-total-run-started></td>
865
  <td><xtag-total-run-finished>476</xtag-total-run-finished></td>
866
  <td><xtag-total-error>0</xtag-total-error></td>
867
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
868
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
869
  <td><xtag-total-exception>0</xtag-total-exception></td>
870
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
871
</tr>
872
<tr>
873
  <td><xtag-program-name>par</xtag-program-name></td>
874
  <td><xtag-total-run-started>462</xtag-total-run-started></td>
875
  <td><xtag-total-run-finished>407</xtag-total-run-finished></td>
876
  <td><xtag-total-error>14</xtag-total-error></td>
877
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
878
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
879
  <td><xtag-total-exception>0</xtag-total-exception></td>
880
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
881
</tr>
882
<tr>
883
  <td><xtag-program-name>taengine</xtag-program-name></td>
884
  <td><xtag-total-run-started>1</xtag-total-run-started></td>
885
  <td><xtag-total-run-finished>1</xtag-total-run-finished></td>
886
  <td><xtag-total-error>0</xtag-total-error></td>
887
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
888
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
889
  <td><xtag-total-exception>0</xtag-total-exception></td>
890
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
891
</tr>
892
<tr>
893
  <td><xtag-program-name>trce</xtag-program-name></td>
894
  <td><xtag-total-run-started>433</xtag-total-run-started></td>
895
  <td><xtag-total-run-finished>433</xtag-total-run-finished></td>
896
  <td><xtag-total-error>0</xtag-total-error></td>
897
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
898
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
899
  <td><xtag-total-exception>0</xtag-total-exception></td>
900
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
901
</tr>
902
<tr>
903
  <td><xtag-program-name>tsim</xtag-program-name></td>
904
  <td><xtag-total-run-started>1</xtag-total-run-started></td>
905
  <td><xtag-total-run-finished>1</xtag-total-run-finished></td>
906
  <td><xtag-total-error>0</xtag-total-error></td>
907
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
908
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
909
  <td><xtag-total-exception>0</xtag-total-exception></td>
910
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
911
</tr>
912
<tr>
913
  <td><xtag-program-name>xpwr</xtag-program-name></td>
914
  <td><xtag-total-run-started>2</xtag-total-run-started></td>
915
  <td><xtag-total-run-finished>2</xtag-total-run-finished></td>
916
  <td><xtag-total-error>0</xtag-total-error></td>
917
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
918
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
919
  <td><xtag-total-exception>0</xtag-total-exception></td>
920
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
921
</tr>
922
<tr>
923
  <td><xtag-program-name>xst</xtag-program-name></td>
924
  <td><xtag-total-run-started>754</xtag-total-run-started></td>
925
  <td><xtag-total-run-finished>749</xtag-total-run-finished></td>
926
  <td><xtag-total-error>0</xtag-total-error></td>
927
  <td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
928
  <td><xtag-total-internal-error>0</xtag-total-internal-error></td>
929
  <td><xtag-total-exception>0</xtag-total-exception></td>
930
  <td><xtag-total-core-dump>0</xtag-total-core-dump></td>
931
</tr>
932
</xtag-section></TABLE>
933
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
934
<xtag-section name="ISEHelpViewerData">
935
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=2><B>Help Statistics</B></TD></TR>
936
<TR VALIGN=TOP><TD COLSPAN=2><xtag-group><B><xtag-group-name name="OpenedHelpFiles">
937
Help files</xtag-group-name></B></TD></TR>
938
<TR><TD><xtag-search-item>/doc/usenglish/isehelp/ise_c_fpga_design_flow_overview.htm</xtag-search-item> ( <xtag-count-property>1</xtag-count-property> )</TD>
939
<TD><xtag-search-item>/doc/usenglish/isehelp/ise_c_overview.htm</xtag-search-item> ( <xtag-count-property>1</xtag-count-property> )</TD>
940
 
941
</TR><TR><TD><xtag-search-item>/doc/usenglish/isehelp/ise_c_simulation_test_bench.htm</xtag-search-item> ( <xtag-count-property>1</xtag-count-property> )</TD>
942
<TD><xtag-search-item>/doc/usenglish/isehelp/ise_c_using_the_design_views.htm</xtag-search-item> ( <xtag-count-property>1</xtag-count-property> )</TD>
943
 
944
</TR><TR><TD><xtag-search-item>/doc/usenglish/isehelp/ise_c_working_with_vhdl_libraries.htm</xtag-search-item> ( <xtag-count-property>1</xtag-count-property> )</TD>
945
<TD><xtag-search-item>/doc/usenglish/isehelp/ise_p_using_smartguide.htm</xtag-search-item> ( <xtag-count-property>1</xtag-count-property> )</TD>
946
 
947
</TR><TR><TD><xtag-search-item>/doc/usenglish/isehelp/ism_r_p_printing.htm</xtag-search-item> ( <xtag-count-property>1</xtag-count-property> )</TD>
948
<TD><xtag-search-item>/doc/usenglish/isehelp/pce_db_period-dialog.htm</xtag-search-item> ( <xtag-count-property>1</xtag-count-property> )</TD>
949
 
950
</TR><TR><TD><xtag-search-item>/doc/usenglish/isehelp/pn_c_using_console_error_warning_tabs.htm</xtag-search-item> ( <xtag-count-property>1</xtag-count-property> )</TD>
951
<TD><xtag-search-item>/doc/usenglish/isehelp/pn_db_adding_source_files.htm</xtag-search-item> ( <xtag-count-property>1</xtag-count-property> )</TD>
952
 
953
</TR><TR><TD><xtag-search-item>/doc/usenglish/isehelp/pn_db_nsw_summary.htm</xtag-search-item> ( <xtag-count-property>1</xtag-count-property> )</TD>
954
<TD><xtag-search-item>/doc/usenglish/isehelp/pn_p_changing_source_properties.htm</xtag-search-item> ( <xtag-count-property>1</xtag-count-property> )</TD>
955
 
956
</TR><TR><TD><xtag-search-item>/doc/usenglish/isehelp/pn_r_library_tab.htm</xtag-search-item> ( <xtag-count-property>1</xtag-count-property> )</TD>
957
<TD><xtag-search-item>/doc/usenglish/isehelp/pp_p_process_generate_post_translate_simulation_model.htm</xtag-search-item> ( <xtag-count-property>1</xtag-count-property> )</TD>
958
</TR></xtag-group></xtag-section></TABLE>
959
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
960
<xtag-section name="Project Statistics">
961
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=2><B>Project Statistics</B></TD></TR>
962
<TR>
963
<TD><xtag-process-property-name>PROPEXT_MapGlobalOptimization_spartan6</xtag-process-property-name>=<xtag-process-property-value>Area</xtag-process-property-value></TD>
964
<TD><xtag-design-property-name>PROP_Enable_Message_Filtering</xtag-design-property-name>=<xtag-design-property-value>false</xtag-design-property-value></TD>
965
 
966
</TR><TR><TD><xtag-process-property-name>PROP_FitterReportFormat</xtag-process-property-name>=<xtag-process-property-value>HTML</xtag-process-property-value></TD>
967
<TD><xtag-design-property-name>PROP_LastAppliedGoal</xtag-design-property-name>=<xtag-design-property-value>Balanced</xtag-design-property-value></TD>
968
 
969
</TR><TR><TD><xtag-design-property-name>PROP_LastAppliedStrategy</xtag-design-property-name>=<xtag-design-property-value>Xilinx Default (unlocked)</xtag-design-property-value></TD>
970
<TD><xtag-design-property-name>PROP_ManualCompileOrderImp</xtag-design-property-name>=<xtag-design-property-value>false</xtag-design-property-value></TD>
971
 
972
</TR><TR><TD><xtag-process-property-name>PROP_MapLUTCombining_spartan6</xtag-process-property-name>=<xtag-process-property-value>Area</xtag-process-property-value></TD>
973
<TD><xtag-design-property-name>PROP_PropSpecInProjFile</xtag-design-property-name>=<xtag-design-property-value>Store all values</xtag-design-property-value></TD>
974
 
975
</TR><TR><TD><xtag-design-property-name>PROP_Simulator</xtag-design-property-name>=<xtag-design-property-value>ISim (VHDL/Verilog)</xtag-design-property-value></TD>
976
<TD><xtag-process-property-name>PROP_SynthExtractRAM</xtag-process-property-name>=<xtag-process-property-value>false</xtag-process-property-value></TD>
977
 
978
</TR><TR><TD><xtag-process-property-name>PROP_SynthExtractROM</xtag-process-property-name>=<xtag-process-property-value>false</xtag-process-property-value></TD>
979
<TD><xtag-process-property-name>PROP_SynthFsmEncode</xtag-process-property-name>=<xtag-process-property-value>Gray</xtag-process-property-value></TD>
980
 
981
</TR><TR><TD><xtag-process-property-name>PROP_SynthOptEffort_spartan6</xtag-process-property-name>=<xtag-process-property-value>High</xtag-process-property-value></TD>
982
<TD><xtag-process-property-name>PROP_SynthShiftRegExtract</xtag-process-property-name>=<xtag-process-property-value>false</xtag-process-property-value></TD>
983
 
984
</TR><TR><TD><xtag-process-property-name>PROP_SynthTopFile</xtag-process-property-name>=<xtag-process-property-value>changed</xtag-process-property-value></TD>
985
<TD><xtag-design-property-name>PROP_Top_Level_Module_Type</xtag-design-property-name>=<xtag-design-property-value>HDL</xtag-design-property-value></TD>
986
 
987
</TR><TR><TD><xtag-design-property-name>PROP_UseSmartGuide</xtag-design-property-name>=<xtag-design-property-value>false</xtag-design-property-value></TD>
988
<TD><xtag-process-property-name>PROP_UserBrowsedStrategyFiles</xtag-process-property-name>=<xtag-process-property-value>C:/Xilinx/13.1/ISE_DS/ISE/data/default.xds</xtag-process-property-value></TD>
989
 
990
</TR><TR><TD><xtag-process-property-name>PROP_UserConstraintEditorPreference</xtag-process-property-name>=<xtag-process-property-value>Constraints Editor</xtag-process-property-value></TD>
991
<TD><xtag-process-property-name>PROP_VHDLSourceAnalysisStandard</xtag-process-property-name>=<xtag-process-property-value>VHDL-200X</xtag-process-property-value></TD>
992
 
993
</TR><TR><TD><xtag-design-property-name>PROP_intProjectCreationTimestamp</xtag-design-property-name>=<xtag-design-property-value>2011-07-07T09:55:20</xtag-design-property-value></TD>
994
<TD><xtag-design-property-name>PROP_intWbtProjectID</xtag-design-property-name>=<xtag-design-property-value>2C5BE631B69F48AB8C2F24035AF7A13B</xtag-design-property-value></TD>
995
 
996
</TR><TR><TD><xtag-process-property-name>PROP_intWbtProjectIteration</xtag-process-property-name>=<xtag-process-property-value>31</xtag-process-property-value></TD>
997
<TD><xtag-design-property-name>PROP_intWorkingDirLocWRTProjDir</xtag-design-property-name>=<xtag-design-property-value>Same</xtag-design-property-value></TD>
998
 
999
</TR><TR><TD><xtag-design-property-name>PROP_intWorkingDirUsed</xtag-design-property-name>=<xtag-design-property-value>No</xtag-design-property-value></TD>
1000
<TD><xtag-process-property-name>PROP_xilxBitgStart_Clk_DriveDone</xtag-process-property-name>=<xtag-process-property-value>true</xtag-process-property-value></TD>
1001
 
1002
</TR><TR><TD><xtag-process-property-name>PROP_xilxMapReportDetail</xtag-process-property-name>=<xtag-process-property-value>true</xtag-process-property-value></TD>
1003
<TD><xtag-process-property-name>PROP_xstLUTCombining_spartan6</xtag-process-property-name>=<xtag-process-property-value>Area</xtag-process-property-value></TD>
1004
 
1005
</TR><TR><TD><xtag-design-property-name>PROP_AutoTop</xtag-design-property-name>=<xtag-design-property-value>false</xtag-design-property-value></TD>
1006
<TD><xtag-design-property-name>PROP_DevFamily</xtag-design-property-name>=<xtag-design-property-value>Spartan6</xtag-design-property-value></TD>
1007
 
1008
</TR><TR><TD><xtag-process-property-name>PROP_MapExtraEffort_spartan6</xtag-process-property-name>=<xtag-process-property-value>Normal</xtag-process-property-value></TD>
1009
<TD><xtag-process-property-name>PROP_xilxMapEnableMultiThreading</xtag-process-property-name>=<xtag-process-property-value>2</xtag-process-property-value></TD>
1010
 
1011
</TR><TR><TD><xtag-process-property-name>PROPEXT_xilxPARextraEffortLevel_spartan6</xtag-process-property-name>=<xtag-process-property-value>Normal</xtag-process-property-value></TD>
1012
<TD><xtag-design-property-name>PROP_DevDevice</xtag-design-property-name>=<xtag-design-property-value>xc6slx45</xtag-design-property-value></TD>
1013
 
1014
</TR><TR><TD><xtag-design-property-name>PROP_DevFamilyPMName</xtag-design-property-name>=<xtag-design-property-value>spartan6</xtag-design-property-value></TD>
1015
<TD><xtag-design-property-name>PROP_DevPackage</xtag-design-property-name>=<xtag-design-property-value>csg324</xtag-design-property-value></TD>
1016
 
1017
</TR><TR><TD><xtag-design-property-name>PROP_Synthesis_Tool</xtag-design-property-name>=<xtag-design-property-value>XST (VHDL/Verilog)</xtag-design-property-value></TD>
1018
<TD><xtag-process-property-name>PROP_parEnableMultiThreading_spartan6</xtag-process-property-name>=<xtag-process-property-value>4</xtag-process-property-value></TD>
1019
 
1020
</TR><TR><TD><xtag-design-property-name>PROP_DevSpeed</xtag-design-property-name>=<xtag-design-property-value>-2</xtag-design-property-value></TD>
1021
<TD><xtag-design-property-name>PROP_PreferredLanguage</xtag-design-property-name>=<xtag-design-property-value>VHDL</xtag-design-property-value></TD>
1022
 
1023
</TR><TR><TD><xtag-source-property-name>FILE_UCF</xtag-source-property-name>=<xtag-source-property-value>1</xtag-source-property-value></TD>
1024
<TD><xtag-source-property-name>FILE_VHDL</xtag-source-property-name>=<xtag-source-property-value>4</xtag-source-property-value></TD>
1025
</TR></xtag-section></TABLE>
1026
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
1027
<xtag-section name="UnisimStatistics">
1028
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=4><B>Unisim Statistics</B></TD></TR>
1029
<TR ALIGN=CENTER><TD COLSPAN=4><B><xtag-unisim-type-name>NGDBUILD_PRE_UNISIM_SUMMARY</xtag-unisim-type-name></B></TD></TR><TR>
1030
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_BUFG</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
1031
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_BUFGP</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
1032
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FD</xtag-preunisim-param-name>=<xtag-preunisim-param-value>65</xtag-preunisim-param-value></TD>
1033
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FDC</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
1034
</TR>
1035
<TR>
1036
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FDC_1</xtag-preunisim-param-name>=<xtag-preunisim-param-value>4</xtag-preunisim-param-value></TD>
1037
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FDE</xtag-preunisim-param-name>=<xtag-preunisim-param-value>74</xtag-preunisim-param-value></TD>
1038
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FDE_1</xtag-preunisim-param-name>=<xtag-preunisim-param-value>16</xtag-preunisim-param-value></TD>
1039
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FDR</xtag-preunisim-param-name>=<xtag-preunisim-param-value>18</xtag-preunisim-param-value></TD>
1040
</TR>
1041
<TR>
1042
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FDRE</xtag-preunisim-param-name>=<xtag-preunisim-param-value>4</xtag-preunisim-param-value></TD>
1043
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_GND</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
1044
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_IBUF</xtag-preunisim-param-name>=<xtag-preunisim-param-value>14</xtag-preunisim-param-value></TD>
1045
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_INV</xtag-preunisim-param-name>=<xtag-preunisim-param-value>6</xtag-preunisim-param-value></TD>
1046
</TR>
1047
<TR>
1048
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT1</xtag-preunisim-param-name>=<xtag-preunisim-param-value>28</xtag-preunisim-param-value></TD>
1049
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT2</xtag-preunisim-param-name>=<xtag-preunisim-param-value>4</xtag-preunisim-param-value></TD>
1050
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT3</xtag-preunisim-param-name>=<xtag-preunisim-param-value>25</xtag-preunisim-param-value></TD>
1051
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT4</xtag-preunisim-param-name>=<xtag-preunisim-param-value>12</xtag-preunisim-param-value></TD>
1052
</TR>
1053
<TR>
1054
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT5</xtag-preunisim-param-name>=<xtag-preunisim-param-value>19</xtag-preunisim-param-value></TD>
1055
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT6</xtag-preunisim-param-name>=<xtag-preunisim-param-value>46</xtag-preunisim-param-value></TD>
1056
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_MUXCY</xtag-preunisim-param-name>=<xtag-preunisim-param-value>28</xtag-preunisim-param-value></TD>
1057
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_OBUF</xtag-preunisim-param-name>=<xtag-preunisim-param-value>24</xtag-preunisim-param-value></TD>
1058
</TR>
1059
<TR>
1060
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_VCC</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
1061
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_XORCY</xtag-preunisim-param-name>=<xtag-preunisim-param-value>30</xtag-preunisim-param-value></TD>
1062
<TR ALIGN=CENTER><TD COLSPAN=4><B><xtag-unisim-type-name>NGDBUILD_POST_UNISIM_SUMMARY</xtag-unisim-type-name></B></TD></TR><TR>
1063
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_BUFG</xtag-postunisim-param-name>=<xtag-postunisim-param-value>2</xtag-postunisim-param-value></TD>
1064
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FD</xtag-postunisim-param-name>=<xtag-postunisim-param-value>65</xtag-postunisim-param-value></TD>
1065
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FDC</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
1066
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FDC_1</xtag-postunisim-param-name>=<xtag-postunisim-param-value>4</xtag-postunisim-param-value></TD>
1067
</TR>
1068
<TR>
1069
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FDE</xtag-postunisim-param-name>=<xtag-postunisim-param-value>74</xtag-postunisim-param-value></TD>
1070
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FDE_1</xtag-postunisim-param-name>=<xtag-postunisim-param-value>16</xtag-postunisim-param-value></TD>
1071
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FDR</xtag-postunisim-param-name>=<xtag-postunisim-param-value>18</xtag-postunisim-param-value></TD>
1072
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FDRE</xtag-postunisim-param-name>=<xtag-postunisim-param-value>4</xtag-postunisim-param-value></TD>
1073
</TR>
1074
<TR>
1075
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_GND</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
1076
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_IBUF</xtag-postunisim-param-name>=<xtag-postunisim-param-value>14</xtag-postunisim-param-value></TD>
1077
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_IBUFG</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
1078
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_INV</xtag-postunisim-param-name>=<xtag-postunisim-param-value>6</xtag-postunisim-param-value></TD>
1079
</TR>
1080
<TR>
1081
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT1</xtag-postunisim-param-name>=<xtag-postunisim-param-value>28</xtag-postunisim-param-value></TD>
1082
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT2</xtag-postunisim-param-name>=<xtag-postunisim-param-value>4</xtag-postunisim-param-value></TD>
1083
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT3</xtag-postunisim-param-name>=<xtag-postunisim-param-value>25</xtag-postunisim-param-value></TD>
1084
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT4</xtag-postunisim-param-name>=<xtag-postunisim-param-value>12</xtag-postunisim-param-value></TD>
1085
</TR>
1086
<TR>
1087
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT5</xtag-postunisim-param-name>=<xtag-postunisim-param-value>19</xtag-postunisim-param-value></TD>
1088
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT6</xtag-postunisim-param-name>=<xtag-postunisim-param-value>46</xtag-postunisim-param-value></TD>
1089
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_MUXCY</xtag-postunisim-param-name>=<xtag-postunisim-param-value>28</xtag-postunisim-param-value></TD>
1090
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_OBUF</xtag-postunisim-param-name>=<xtag-postunisim-param-value>24</xtag-postunisim-param-value></TD>
1091
</TR>
1092
<TR>
1093
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_VCC</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
1094
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_XORCY</xtag-postunisim-param-name>=<xtag-postunisim-param-value>30</xtag-postunisim-param-value></TD>
1095
</xtag-section></TABLE>
1096
&nbsp;<BR></BODY></HTML>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.