OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [env/] [verilog/] [bpdrop/] [runsh] - Blame information for rev 27

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 ghutchis
#!/bin/bash
2
 
3
iverilog -o bpdrop bench_bpdrop.v ../common/sd_seq_check.v ../common/sd_seq_gen.v ../../../rtl/verilog/utility/sd_bpdrop.v
4
 
5
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.