OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [examples/] [bridge/] [env/] [env_top.v] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 ghutchis
`timescale 1ns/1ps
2
 
3
module env_top;
4
 
5
  reg clk, reset;
6
 
7
  initial
8
    begin
9
      clk = 0;
10
      forever clk = #4 ~clk;
11
    end
12
 
13
  initial
14
    begin
15 11 ghutchis
`ifdef VCS
16
      $vcdpluson;
17
`else
18 8 ghutchis
      $dumpfile ("env_top.vcd");
19
      $dumpvars;
20 11 ghutchis
`endif
21 8 ghutchis
      reset = 1;
22
      #200;
23
      reset = 0;
24
      #200;
25
 
26 9 ghutchis
      repeat (`FIB_ENTRIES)
27
        @(posedge clk);
28
 
29 8 ghutchis
      fork
30
        driver0.send_packet (1, 2, 20);
31
        driver1.send_packet (2, 3, 64);
32
        driver2.send_packet (3, 4, 64);
33
        driver3.send_packet (4, 1, 64);
34
      join
35
 
36 12 ghutchis
      #2000;
37 8 ghutchis
      $finish;
38
    end
39
 
40
  /*AUTOWIRE*/
41
  // Beginning of automatic wires (for undeclared instantiated-module outputs)
42 11 ghutchis
  wire                  gmii_rx_clk_0;          // From driver0 of gmii_driver.v
43
  wire                  gmii_rx_clk_1;          // From driver1 of gmii_driver.v
44
  wire                  gmii_rx_clk_2;          // From driver2 of gmii_driver.v
45
  wire                  gmii_rx_clk_3;          // From driver3 of gmii_driver.v
46
  wire                  gmii_rx_dv_0;           // From driver0 of gmii_driver.v
47
  wire                  gmii_rx_dv_1;           // From driver1 of gmii_driver.v
48
  wire                  gmii_rx_dv_2;           // From driver2 of gmii_driver.v
49
  wire                  gmii_rx_dv_3;           // From driver3 of gmii_driver.v
50
  wire [7:0]            gmii_rxd_0;             // From driver0 of gmii_driver.v
51
  wire [7:0]            gmii_rxd_1;             // From driver1 of gmii_driver.v
52
  wire [7:0]            gmii_rxd_2;             // From driver2 of gmii_driver.v
53
  wire [7:0]            gmii_rxd_3;             // From driver3 of gmii_driver.v
54
  wire                  gmii_tx_en_0;           // From bridge of bridge_ex1.v
55
  wire                  gmii_tx_en_1;           // From bridge of bridge_ex1.v
56
  wire                  gmii_tx_en_2;           // From bridge of bridge_ex1.v
57
  wire                  gmii_tx_en_3;           // From bridge of bridge_ex1.v
58
  wire [7:0]            gmii_txd_0;             // From bridge of bridge_ex1.v
59
  wire [7:0]            gmii_txd_1;             // From bridge of bridge_ex1.v
60
  wire [7:0]            gmii_txd_2;             // From bridge of bridge_ex1.v
61
  wire [7:0]            gmii_txd_3;             // From bridge of bridge_ex1.v
62 8 ghutchis
  // End of automatics
63
 
64
  /* gmii_driver AUTO_TEMPLATE
65
   (
66
     .\(.*\)  (gmii_\1_@[]),
67
   );
68
   */
69
  gmii_driver driver0
70
    (/*AUTOINST*/
71
     // Outputs
72 11 ghutchis
     .rxd                               (gmii_rxd_0[7:0]),       // Templated
73
     .rx_dv                             (gmii_rx_dv_0),          // Templated
74
     .rx_clk                            (gmii_rx_clk_0));         // Templated
75 8 ghutchis
 
76
  gmii_driver driver1
77
    (/*AUTOINST*/
78
     // Outputs
79 11 ghutchis
     .rxd                               (gmii_rxd_1[7:0]),       // Templated
80
     .rx_dv                             (gmii_rx_dv_1),          // Templated
81
     .rx_clk                            (gmii_rx_clk_1));         // Templated
82 8 ghutchis
 
83
  gmii_driver driver2
84
    (/*AUTOINST*/
85
     // Outputs
86 11 ghutchis
     .rxd                               (gmii_rxd_2[7:0]),       // Templated
87
     .rx_dv                             (gmii_rx_dv_2),          // Templated
88
     .rx_clk                            (gmii_rx_clk_2));         // Templated
89 8 ghutchis
 
90
  gmii_driver driver3
91
    (/*AUTOINST*/
92
     // Outputs
93 11 ghutchis
     .rxd                               (gmii_rxd_3[7:0]),       // Templated
94
     .rx_dv                             (gmii_rx_dv_3),          // Templated
95
     .rx_clk                            (gmii_rx_clk_3));         // Templated
96 8 ghutchis
 
97
  bridge_ex1 bridge
98
    (/*AUTOINST*/
99
     // Outputs
100 11 ghutchis
     .gmii_tx_en_0                      (gmii_tx_en_0),
101
     .gmii_tx_en_1                      (gmii_tx_en_1),
102
     .gmii_tx_en_2                      (gmii_tx_en_2),
103
     .gmii_tx_en_3                      (gmii_tx_en_3),
104
     .gmii_txd_0                        (gmii_txd_0[7:0]),
105
     .gmii_txd_1                        (gmii_txd_1[7:0]),
106
     .gmii_txd_2                        (gmii_txd_2[7:0]),
107
     .gmii_txd_3                        (gmii_txd_3[7:0]),
108 8 ghutchis
     // Inputs
109 11 ghutchis
     .clk                               (clk),
110
     .reset                             (reset),
111
     .gmii_rx_clk_0                     (gmii_rx_clk_0),
112
     .gmii_rx_clk_1                     (gmii_rx_clk_1),
113
     .gmii_rx_clk_2                     (gmii_rx_clk_2),
114
     .gmii_rx_clk_3                     (gmii_rx_clk_3),
115
     .gmii_rx_dv_0                      (gmii_rx_dv_0),
116
     .gmii_rx_dv_1                      (gmii_rx_dv_1),
117
     .gmii_rx_dv_2                      (gmii_rx_dv_2),
118
     .gmii_rx_dv_3                      (gmii_rx_dv_3),
119
     .gmii_rxd_0                        (gmii_rxd_0[7:0]),
120
     .gmii_rxd_1                        (gmii_rxd_1[7:0]),
121
     .gmii_rxd_2                        (gmii_rxd_2[7:0]),
122
     .gmii_rxd_3                        (gmii_rxd_3[7:0]));
123 8 ghutchis
 
124 11 ghutchis
  /* gmii_monitor AUTO_TEMPLATE
125
   (
126
     .clk                               (clk),
127
     .\(.*\)  (\1_@[]),
128
   );
129
   */
130
  gmii_monitor mon0
131
    (/*AUTOINST*/
132
     // Inputs
133
     .clk                               (clk),                   // Templated
134
     .gmii_tx_en                        (gmii_tx_en_0),          // Templated
135
     .gmii_txd                          (gmii_txd_0[7:0]));       // Templated
136
 
137
  gmii_monitor mon1
138
    (/*AUTOINST*/
139
     // Inputs
140
     .clk                               (clk),                   // Templated
141
     .gmii_tx_en                        (gmii_tx_en_1),          // Templated
142
     .gmii_txd                          (gmii_txd_1[7:0]));       // Templated
143
 
144
  gmii_monitor mon2
145
    (/*AUTOINST*/
146
     // Inputs
147
     .clk                               (clk),                   // Templated
148
     .gmii_tx_en                        (gmii_tx_en_2),          // Templated
149
     .gmii_txd                          (gmii_txd_2[7:0]));       // Templated
150
 
151
  gmii_monitor mon3
152
    (/*AUTOINST*/
153
     // Inputs
154
     .clk                               (clk),                   // Templated
155
     .gmii_tx_en                        (gmii_tx_en_3),          // Templated
156
     .gmii_txd                          (gmii_txd_3[7:0]));       // Templated
157
 
158 8 ghutchis
endmodule // env_top
159
// Local Variables:
160
// verilog-library-directories:("." "../rtl")
161
// End:  

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.