OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [examples/] [bridge/] [env/] [env_top.v] - Blame information for rev 13

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 ghutchis
`timescale 1ns/1ps
2
 
3
module env_top;
4
 
5
  reg clk, reset;
6
 
7
  initial
8
    begin
9
      clk = 0;
10
      forever clk = #4 ~clk;
11
    end
12
 
13
  initial
14
    begin
15 11 ghutchis
`ifdef VCS
16
      $vcdpluson;
17
`else
18 8 ghutchis
      $dumpfile ("env_top.vcd");
19
      $dumpvars;
20 11 ghutchis
`endif
21 8 ghutchis
      reset = 1;
22
      #200;
23
      reset = 0;
24 13 ghutchis
    end // initial begin
25
 
26 8 ghutchis
 
27
  /*AUTOWIRE*/
28
  // Beginning of automatic wires (for undeclared instantiated-module outputs)
29 11 ghutchis
  wire                  gmii_rx_clk_0;          // From driver0 of gmii_driver.v
30
  wire                  gmii_rx_clk_1;          // From driver1 of gmii_driver.v
31
  wire                  gmii_rx_clk_2;          // From driver2 of gmii_driver.v
32
  wire                  gmii_rx_clk_3;          // From driver3 of gmii_driver.v
33
  wire                  gmii_rx_dv_0;           // From driver0 of gmii_driver.v
34
  wire                  gmii_rx_dv_1;           // From driver1 of gmii_driver.v
35
  wire                  gmii_rx_dv_2;           // From driver2 of gmii_driver.v
36
  wire                  gmii_rx_dv_3;           // From driver3 of gmii_driver.v
37
  wire [7:0]            gmii_rxd_0;             // From driver0 of gmii_driver.v
38
  wire [7:0]            gmii_rxd_1;             // From driver1 of gmii_driver.v
39
  wire [7:0]            gmii_rxd_2;             // From driver2 of gmii_driver.v
40
  wire [7:0]            gmii_rxd_3;             // From driver3 of gmii_driver.v
41
  wire                  gmii_tx_en_0;           // From bridge of bridge_ex1.v
42
  wire                  gmii_tx_en_1;           // From bridge of bridge_ex1.v
43
  wire                  gmii_tx_en_2;           // From bridge of bridge_ex1.v
44
  wire                  gmii_tx_en_3;           // From bridge of bridge_ex1.v
45
  wire [7:0]            gmii_txd_0;             // From bridge of bridge_ex1.v
46
  wire [7:0]            gmii_txd_1;             // From bridge of bridge_ex1.v
47
  wire [7:0]            gmii_txd_2;             // From bridge of bridge_ex1.v
48
  wire [7:0]            gmii_txd_3;             // From bridge of bridge_ex1.v
49 8 ghutchis
  // End of automatics
50
 
51
  /* gmii_driver AUTO_TEMPLATE
52
   (
53
     .\(.*\)  (gmii_\1_@[]),
54
   );
55
   */
56
  gmii_driver driver0
57
    (/*AUTOINST*/
58
     // Outputs
59 11 ghutchis
     .rxd                               (gmii_rxd_0[7:0]),       // Templated
60
     .rx_dv                             (gmii_rx_dv_0),          // Templated
61
     .rx_clk                            (gmii_rx_clk_0));         // Templated
62 8 ghutchis
 
63
  gmii_driver driver1
64
    (/*AUTOINST*/
65
     // Outputs
66 11 ghutchis
     .rxd                               (gmii_rxd_1[7:0]),       // Templated
67
     .rx_dv                             (gmii_rx_dv_1),          // Templated
68
     .rx_clk                            (gmii_rx_clk_1));         // Templated
69 8 ghutchis
 
70
  gmii_driver driver2
71
    (/*AUTOINST*/
72
     // Outputs
73 11 ghutchis
     .rxd                               (gmii_rxd_2[7:0]),       // Templated
74
     .rx_dv                             (gmii_rx_dv_2),          // Templated
75
     .rx_clk                            (gmii_rx_clk_2));         // Templated
76 8 ghutchis
 
77
  gmii_driver driver3
78
    (/*AUTOINST*/
79
     // Outputs
80 11 ghutchis
     .rxd                               (gmii_rxd_3[7:0]),       // Templated
81
     .rx_dv                             (gmii_rx_dv_3),          // Templated
82
     .rx_clk                            (gmii_rx_clk_3));         // Templated
83 8 ghutchis
 
84
  bridge_ex1 bridge
85
    (/*AUTOINST*/
86
     // Outputs
87 11 ghutchis
     .gmii_tx_en_0                      (gmii_tx_en_0),
88
     .gmii_tx_en_1                      (gmii_tx_en_1),
89
     .gmii_tx_en_2                      (gmii_tx_en_2),
90
     .gmii_tx_en_3                      (gmii_tx_en_3),
91
     .gmii_txd_0                        (gmii_txd_0[7:0]),
92
     .gmii_txd_1                        (gmii_txd_1[7:0]),
93
     .gmii_txd_2                        (gmii_txd_2[7:0]),
94
     .gmii_txd_3                        (gmii_txd_3[7:0]),
95 8 ghutchis
     // Inputs
96 11 ghutchis
     .clk                               (clk),
97
     .reset                             (reset),
98
     .gmii_rx_clk_0                     (gmii_rx_clk_0),
99
     .gmii_rx_clk_1                     (gmii_rx_clk_1),
100
     .gmii_rx_clk_2                     (gmii_rx_clk_2),
101
     .gmii_rx_clk_3                     (gmii_rx_clk_3),
102
     .gmii_rx_dv_0                      (gmii_rx_dv_0),
103
     .gmii_rx_dv_1                      (gmii_rx_dv_1),
104
     .gmii_rx_dv_2                      (gmii_rx_dv_2),
105
     .gmii_rx_dv_3                      (gmii_rx_dv_3),
106
     .gmii_rxd_0                        (gmii_rxd_0[7:0]),
107
     .gmii_rxd_1                        (gmii_rxd_1[7:0]),
108
     .gmii_rxd_2                        (gmii_rxd_2[7:0]),
109
     .gmii_rxd_3                        (gmii_rxd_3[7:0]));
110 8 ghutchis
 
111 11 ghutchis
  /* gmii_monitor AUTO_TEMPLATE
112
   (
113
     .clk                               (clk),
114
     .\(.*\)  (\1_@[]),
115
   );
116
   */
117
  gmii_monitor mon0
118
    (/*AUTOINST*/
119
     // Inputs
120
     .clk                               (clk),                   // Templated
121
     .gmii_tx_en                        (gmii_tx_en_0),          // Templated
122
     .gmii_txd                          (gmii_txd_0[7:0]));       // Templated
123
 
124
  gmii_monitor mon1
125
    (/*AUTOINST*/
126
     // Inputs
127
     .clk                               (clk),                   // Templated
128
     .gmii_tx_en                        (gmii_tx_en_1),          // Templated
129
     .gmii_txd                          (gmii_txd_1[7:0]));       // Templated
130
 
131
  gmii_monitor mon2
132
    (/*AUTOINST*/
133
     // Inputs
134
     .clk                               (clk),                   // Templated
135
     .gmii_tx_en                        (gmii_tx_en_2),          // Templated
136
     .gmii_txd                          (gmii_txd_2[7:0]));       // Templated
137
 
138
  gmii_monitor mon3
139
    (/*AUTOINST*/
140
     // Inputs
141
     .clk                               (clk),                   // Templated
142
     .gmii_tx_en                        (gmii_tx_en_3),          // Templated
143
     .gmii_txd                          (gmii_txd_3[7:0]));       // Templated
144
 
145 8 ghutchis
endmodule // env_top
146
// Local Variables:
147
// verilog-library-directories:("." "../rtl")
148
// End:  

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.