OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [external/] [ethernet_tri_mode/] [miim/] [eth_outputcontrol.v] - Blame information for rev 23

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 23 ghutchis
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  eth_outputcontrol.v                                         ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6
////  http://www.opencores.org/projects/ethmac/                   ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11
////  All additional information is avaliable in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2001 Authors                                   ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS Revision History
42
//
43
// $Log: eth_outputcontrol.v,v $
44
// Revision 1.2  2005/12/13 12:54:49  maverickist
45
// first simulation passed
46
//
47
// Revision 1.1.1.1  2005/12/13 01:51:45  Administrator
48
// no message
49
//
50
// Revision 1.2  2005/04/27 15:58:46  Administrator
51
// no message
52
//
53
// Revision 1.1.1.1  2004/12/15 06:38:54  Administrator
54
// no message
55
//
56
// Revision 1.4  2002/07/09 20:11:59  mohor
57
// Comment removed.
58
//
59
// Revision 1.3  2002/01/23 10:28:16  mohor
60
// Link in the header changed.
61
//
62
// Revision 1.2  2001/10/19 08:43:51  mohor
63
// eth_timescale.v changed to timescale.v This is done because of the
64
// simulation of the few cores in a one joined project.
65
//
66
// Revision 1.1  2001/08/06 14:44:29  mohor
67
// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex).
68
// Include files fixed to contain no path.
69
// File names and module names changed ta have a eth_ prologue in the name.
70
// File eth_timescale.v is used to define timescale
71
// All pin names on the top module are changed to contain _I, _O or _OE at the end.
72
// Bidirectional signal MDIO is changed to three signals (Mdc_O, Mdi_I, Mdo_O
73
// and Mdo_OE. The bidirectional signal must be created on the top level. This
74
// is done due to the ASIC tools.
75
//
76
// Revision 1.1  2001/07/30 21:23:42  mohor
77
// Directory structure changed. Files checked and joind together.
78
//
79
// Revision 1.3  2001/06/01 22:28:56  mohor
80
// This files (MIIM) are fully working. They were thoroughly tested. The testbench is not updated.
81
//
82
//
83
 
84
`timescale 1ns/10ps
85
 
86
module eth_outputcontrol(Clk, Reset, InProgress, ShiftedBit, BitCounter, WriteOp, NoPre, MdcEn_n, Mdo, MdoEn);
87
 
88
parameter Tp = 1;
89
 
90
input         Clk;                // Host Clock
91
input         Reset;              // General Reset
92
input         WriteOp;            // Write Operation Latch (When asserted, write operation is in progress)
93
input         NoPre;              // No Preamble (no 32-bit preamble)
94
input         InProgress;         // Operation in progress
95
input         ShiftedBit;         // This bit is output of the shift register and is connected to the Mdo signal
96
input   [6:0] BitCounter;         // Bit Counter
97
input         MdcEn_n;            // MII Management Data Clock Enable signal is asserted for one Clk period before Mdc falls.
98
 
99
output        Mdo;                // MII Management Data Output
100
output        MdoEn;              // MII Management Data Output Enable
101
 
102
wire          SerialEn;
103
 
104
reg           MdoEn_2d;
105
reg           MdoEn_d;
106
reg           MdoEn;
107
 
108
reg           Mdo_2d;
109
reg           Mdo_d;
110
reg           Mdo;                // MII Management Data Output
111
 
112
 
113
 
114
// Generation of the Serial Enable signal (enables the serialization of the data)
115
assign SerialEn =  WriteOp & InProgress & ( BitCounter>31 | ( ( BitCounter == 0 ) & NoPre ) )
116
                | ~WriteOp & InProgress & (( BitCounter>31 & BitCounter<46 ) | ( ( BitCounter == 0 ) & NoPre ));
117
 
118
 
119
// Generation of the MdoEn signal
120
always @ (posedge Clk or posedge Reset)
121
begin
122
  if(Reset)
123
    begin
124
      MdoEn_2d <= #Tp 1'b0;
125
      MdoEn_d <= #Tp 1'b0;
126
      MdoEn <= #Tp 1'b0;
127
    end
128
  else
129
    begin
130
      if(MdcEn_n)
131
        begin
132
          MdoEn_2d <= #Tp SerialEn | InProgress & BitCounter<32;
133
          MdoEn_d <= #Tp MdoEn_2d;
134
          MdoEn <= #Tp MdoEn_d;
135
        end
136
    end
137
end
138
 
139
 
140
// Generation of the Mdo signal.
141
always @ (posedge Clk or posedge Reset)
142
begin
143
  if(Reset)
144
    begin
145
      Mdo_2d <= #Tp 1'b0;
146
      Mdo_d <= #Tp 1'b0;
147
      Mdo <= #Tp 1'b0;
148
    end
149
  else
150
    begin
151
      if(MdcEn_n)
152
        begin
153
          Mdo_2d <= #Tp ~SerialEn & BitCounter<32;
154
          Mdo_d <= #Tp ShiftedBit | Mdo_2d;
155
          Mdo <= #Tp Mdo_d;
156
        end
157
    end
158
end
159
 
160
 
161
 
162
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.