OpenCores
URL https://opencores.org/ocsvn/systemverilog-uart16550/systemverilog-uart16550/trunk

Subversion Repositories systemverilog-uart16550

[/] [systemverilog-uart16550/] [trunk/] [rtl/] [uart_transmitter.sv] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 hiroshi
/* *****************************************************************************
2
   * title:         uart_16550_rll module                                      *
3
   * description:   RS232 Protocol 16550D uart (mostly supported)              *
4
   * languages:     systemVerilog                                              *
5
   *                                                                           *
6
   * Copyright (C) 2010 miyagi.hiroshi                                         *
7
   *                                                                           *
8
   * This library is free software; you can redistribute it and/or             *
9
   * modify it under the terms of the GNU Lesser General Public                *
10
   * License as published by the Free Software Foundation; either              *
11
   * version 2.1 of the License, or (at your option) any later version.        *
12
   *                                                                           *
13
   * This library is distributed in the hope that it will be useful,           *
14
   * but WITHOUT ANY WARRANTY; without even the implied warranty of            *
15
   * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU         *
16
   * Lesser General Public License for more details.                           *
17
   *                                                                           *
18
   * You should have received a copy of the GNU Lesser General Public          *
19
   * License along with this library; if not, write to the Free Software       *
20
   * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111*1307  USA *
21
   *                                                                           *
22
   *         ***  GNU LESSER GENERAL PUBLIC LICENSE  ***                       *
23
   *           from http://www.gnu.org/licenses/lgpl.txt                       *
24
   *****************************************************************************
25
   *                            redleaflogic,ltd                               *
26
   *                    miyagi.hiroshi@redleaflogic.biz                        *
27
   *          $Id: uart_transmitter.sv 108 2010-03-30 02:56:26Z hiroshi $         *
28
   ***************************************************************************** */
29
 
30
`ifdef SYN
31
/* empty */
32
`else
33
timeunit      1ps ;
34
timeprecision 1ps ;
35
`endif
36
import uart_package:: * ;
37
module uart_transmitter(input wire    clk_i,
38
                        input wire    nrst_i,
39
                        input wire    trans_clk_en,
40
                        output wire   txd_out,
41
                        fifo_bus      fifo_pop,
42
                        input u_reg_t u_reg,
43
                        output u_codec_t trans_codec,
44
                        output logic  trans_buf_empty) ;
45
 
46
   codec_state_t   next_state ;
47
   //   u_codec_t       trans_codec ;
48
   logic                              pop ;
49
 
50
   assign fifo_pop.pop = pop ;
51
 
52
   // -- break signal output --
53
   assign txd_out = u_reg.line_control_reg.break_control_bit == 1'b1 ? 1'b0 : trans_codec.line ;
54
 
55
   // -- trasmitter state logic --
56
   uart_codec_state trans_state(.u_reg(u_reg),
57
                                .codec(trans_codec),
58
                                .receiver_mode(1'b0),
59
                                .timeout_signal(1'b1),
60
                                .next_state(next_state)) ;
61
 
62
   // -- state register --
63
   always_ff @(posedge clk_i, negedge nrst_i) begin
64
      if(nrst_i == 1'b0)
65
        trans_codec.state <= #1 IDLE ;
66
      else if(trans_clk_en == 1'b1 || trans_codec.state == IDLE)
67
        trans_codec.state <= #1 next_state ;
68
      else
69
        trans_codec.state <= #1 trans_codec.state ;
70
   end
71
 
72
   // -- line output --
73
   always_ff @(posedge clk_i, negedge nrst_i) begin
74
      if(nrst_i == 1'b0) begin
75
         trans_codec.data_r <= #1 8'h00 ;
76
         trans_codec.line   <= #1 1'b1 ;
77
         trans_codec.framing_err <= #1 1'b0 ;
78
         trans_codec.parity_err  <= #1 1'b0 ;
79
         trans_codec.break_err   <= #1 1'b0 ;
80
      end
81
      else if(trans_clk_en == 1'b1)
82
        case (trans_codec.state)
83
          IDLE : trans_codec.line <= #1 1'b1 ;
84
 
85
          START : begin
86
             trans_codec.data_r <= #1 fifo_pop.pop_dat[7:0] ;
87
             trans_codec.line   <= #1 1'b0 ;
88
          end
89
 
90
          SEL_0 : trans_codec.line <= #1 trans_codec.data_r[0] ;
91
          SEL_1 : trans_codec.line <= #1 trans_codec.data_r[1] ;
92
          SEL_2 : trans_codec.line <= #1 trans_codec.data_r[2] ;
93
          SEL_3 : trans_codec.line <= #1 trans_codec.data_r[3] ;
94
          SEL_4 : trans_codec.line <= #1 trans_codec.data_r[4] ;
95
          SEL_5 : trans_codec.line <= #1 trans_codec.data_r[5] ;
96
          SEL_6 : trans_codec.line <= #1 trans_codec.data_r[6] ;
97
 
98
          DATA_END : begin
99
             if(u_reg.line_control_reg.char_length == CHAR_7_BIT)
100
               trans_codec.line <= #1 trans_codec.data_r[6] ;
101
             else
102
               trans_codec.line <= #1 trans_codec.data_r[7] ;
103
          end
104
 
105
          PARITY : begin
106
             case ({u_reg.line_control_reg.even_parity,
107
                    u_reg.line_control_reg.stick_parity})
108
               2'b00  : trans_codec.line <= #1 u_reg.line_control_reg.char_length == CHAR_7_BIT
109
                                            ?  ~(^trans_codec.data_r[6:0])
110
                                              : ~(^trans_codec.data_r[7:0]) ;
111
               2'b01  : trans_codec.line <= #1  1'b1 ;
112
               2'b10  : trans_codec.line <= #1 u_reg.line_control_reg.char_length == CHAR_7_BIT
113
                                            ?  (^trans_codec.data_r[6:0])
114
                                              :  (^trans_codec.data_r[7:0]) ;
115
               2'b11  : trans_codec.line <= #1  1'b0 ;
116
               default : trans_codec.line <= #1 1'b0 ;
117
             endcase
118
          end
119
 
120
          STOP : trans_codec.line   <= #1 1'b1 ;
121
 
122
          default : trans_codec.line <= #1 1'b1 ;
123
        endcase // case (state)
124
   end // always_ff @ (posedge clk, negedge nrst)
125
 
126
   always_ff @(posedge clk_i, negedge nrst_i) begin
127
      if(nrst_i == 1'b0)
128
        pop <= 1'b0 ;
129
      else if(trans_codec.state == START && trans_clk_en == 1'b1)
130
        pop <= 1'b1 ;
131
      else
132
        pop <= 1'b0 ;
133
   end
134
 
135
   always_ff @(posedge clk_i, negedge nrst_i) begin
136
      if(nrst_i == 1'b0)
137
        trans_codec.start <= 1'b0 ;
138
      else if(fifo_pop.empty == 1'b0)
139
        trans_codec.start <= 1'b1 ;
140
      else
141
        trans_codec.start <= 1'b0 ;
142
   end
143
 
144
   always_ff @(posedge clk_i, negedge nrst_i) begin
145
      if(nrst_i == 1'b0)
146
        trans_buf_empty <= 1'b0 ;
147
      else if(next_state == IDLE || next_state == STOP)
148
        trans_buf_empty <= #1 1'b1 ;
149
      else
150
        trans_buf_empty <= #1 1'b0 ;
151
   end
152
 
153
endmodule
154
 
155
/// END OF FILE ///

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.