OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [bench/] [vhdl/] [t420_rom-lpm-a.vhd] - Blame information for rev 179

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 arniml
-------------------------------------------------------------------------------
2
--
3
-- T420 ROM wrapper for lpm_rom.
4
--
5 179 arniml
-- $Id: t420_rom-lpm-a.vhd 179 2009-04-01 19:48:38Z arniml $
6 15 arniml
--
7
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
8
--
9
-- All rights reserved
10
--
11
-- Redistribution and use in source and synthezised forms, with or without
12
-- modification, are permitted provided that the following conditions are met:
13
--
14
-- Redistributions of source code must retain the above copyright notice,
15
-- this list of conditions and the following disclaimer.
16
--
17
-- Redistributions in synthesized form must reproduce the above copyright
18
-- notice, this list of conditions and the following disclaimer in the
19
-- documentation and/or other materials provided with the distribution.
20
--
21
-- Neither the name of the author nor the names of other contributors may
22
-- be used to endorse or promote products derived from this software without
23
-- specific prior written permission.
24
--
25
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
26
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
27
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
28
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
29
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
30
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
31
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
32
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
33
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
34
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
35
-- POSSIBILITY OF SUCH DAMAGE.
36
--
37
-- Please report bugs to the author, but before you do so, please
38
-- make sure that this is not a derivative work and that
39
-- you have the latest version of this file.
40
--
41
-- The latest version of this file can be found at:
42
--      http://www.opencores.org/cvsweb.shtml/t400/
43
--
44
-------------------------------------------------------------------------------
45
 
46
architecture lpm of t420_rom is
47
 
48
  component lpm_rom
49
    generic (
50
      LPM_WIDTH           :     positive;
51
      LPM_WIDTHAD         :     positive;
52
      LPM_NUMWORDS        :     natural   := 0;
53
      LPM_ADDRESS_CONTROL :     string    := "REGISTERED";
54
      LPM_OUTDATA         :     string    := "REGISTERED";
55
      LPM_FILE            :     string;
56
      LPM_TYPE            :     string    := "LPM_ROM";
57
      LPM_HINT            :     string    := "UNUSED"
58
    );
59
    port (
60
      ADDRESS             : in  STD_LOGIC_VECTOR(LPM_WIDTHAD-1 downto 0);
61
      INCLOCK             : in  STD_LOGIC := '0';
62
      OUTCLOCK            : in  STD_LOGIC := '0';
63
      MEMENAB             : in  STD_LOGIC := '1';
64
      Q                   : out STD_LOGIC_VECTOR(LPM_WIDTH-1 downto 0)
65
    );
66
  end component;
67
 
68
  signal vdd_s : std_logic;
69
 
70
begin
71
 
72
  vdd_s <= '1';
73
 
74
  rom_b : lpm_rom
75
    generic map (
76
      LPM_WIDTH   => 8,
77
      LPM_WIDTHAD => 10,
78
      LPM_OUTDATA => "UNREGISTERED",
79
      LPM_FILE    => "rom_42x.hex"
80
    )
81
    port map (
82
      ADDRESS  => addr_i,
83
      INCLOCK  => ck_i,
84
      OUTCLOCK => ck_i,
85
      MEMENAB  => vdd_s,
86
      Q        => data_o
87
    );
88
 
89
end lpm;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.