OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [bench/] [vhdl/] [tb_pack-p.vhd] - Blame information for rev 179

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 arniml
-------------------------------------------------------------------------------
2
--
3 179 arniml
-- $Id: tb_pack-p.vhd 179 2009-04-01 19:48:38Z arniml $
4 18 arniml
--
5
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14 65 arniml
use work.t400_pack.pc_t;
15
 
16 18 arniml
package tb_pack is
17
 
18
  component tb_elems
19
    generic (
20
      period_g  : time := 4.75 us;
21
      d_width_g : integer := 4;
22
      g_width_g : integer := 4
23
    );
24
    port (
25 56 arniml
      io_l_i  : in  std_logic_vector(7 downto 0);
26
      io_d_i  : in  std_logic_vector(d_width_g-1 downto 0);
27
      io_g_i  : in  std_logic_vector(g_width_g-1 downto 0);
28
      io_in_o : out std_logic_vector(g_width_g-1 downto 0);
29
      so_i    : in  std_logic;
30
      si_o    : out std_logic;
31
      sk_i    : in  std_logic;
32
      ck_o    : out std_logic
33 18 arniml
    );
34
  end component;
35
 
36 65 arniml
  signal tb_pc_s : pc_t;
37
  signal tb_sa_s : pc_t;
38
 
39 18 arniml
end tb_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.