OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [tech/] [t400_tech_comp_pack-p.vhd] - Blame information for rev 179

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arniml
-------------------------------------------------------------------------------
2
--
3 179 arniml
-- $Id: t400_tech_comp_pack-p.vhd 179 2009-04-01 19:48:38Z arniml $
4 2 arniml
--
5
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
package t400_tech_comp_pack is
15
 
16
  component t400_por
17
    generic (
18
      delay_g     : integer := 4;
19
      cnt_width_g : integer := 2
20
    );
21
    port (
22
      clk_i   : in  std_logic;
23
      por_n_o : out std_logic
24
    );
25
  end component;
26
 
27
  component generic_ram
28
    generic (
29
      addr_width_g : integer := 10;
30
      data_width_g : integer := 8
31
    );
32
    port (
33
      clk_i : in  std_logic;
34
      a_i   : in  std_logic_vector(addr_width_g-1 downto 0);
35
      we_i  : in  std_logic;
36
      d_i   : in  std_logic_vector(data_width_g-1 downto 0);
37
      d_o   : out std_logic_vector(data_width_g-1 downto 0)
38
    );
39
  end component;
40
 
41 111 arniml
  component generic_ram_ena
42
    generic (
43
      addr_width_g : integer := 10;
44
      data_width_g : integer := 8
45
    );
46
    port (
47
      clk_i : in  std_logic;
48
      a_i   : in  std_logic_vector(addr_width_g-1 downto 0);
49
      we_i  : in  std_logic;
50
      ena_i : in  std_logic;
51
      d_i   : in  std_logic_vector(data_width_g-1 downto 0);
52
      d_o   : out std_logic_vector(data_width_g-1 downto 0)
53
    );
54
  end component;
55 2 arniml
 
56 111 arniml
 
57 2 arniml
end t400_tech_comp_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.