OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [system/] [t400_system_comp_pack-p.vhd] - Blame information for rev 179

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arniml
-------------------------------------------------------------------------------
2
--
3 179 arniml
-- $Id: t400_system_comp_pack-p.vhd 179 2009-04-01 19:48:38Z arniml $
4 2 arniml
--
5
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
use work.t400_opt_pack.all;
15
 
16
package t400_system_comp_pack is
17
 
18
  component t410_notri
19
    generic (
20
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
21
      opt_cko_g            : integer := t400_opt_cko_crystal_c;
22
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
23
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
24
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
25
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
26
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
27
      opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
28
      opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
29
      opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
30
      opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
31
      opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
32
      opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
33
      opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
34
      opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
35
      opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
36
      opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
37
      opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
38
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
39
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
40
    );
41
    port (
42
      ck_i      : in  std_logic;
43
      ck_en_i   : in  std_logic;
44
      reset_n_i : in  std_logic;
45
      cko_i     : in  std_logic;
46
      io_l_i    : in  std_logic_vector(7 downto 0);
47
      io_l_o    : out std_logic_vector(7 downto 0);
48
      io_l_en_o : out std_logic_vector(7 downto 0);
49
      io_d_o    : out std_logic_vector(3 downto 0);
50
      io_d_en_o : out std_logic_vector(3 downto 0);
51
      io_g_i    : in  std_logic_vector(3 downto 0);
52
      io_g_o    : out std_logic_vector(3 downto 0);
53
      io_g_en_o : out std_logic_vector(3 downto 0);
54
      si_i      : in  std_logic;
55
      so_o      : out std_logic;
56
      so_en_o   : out std_logic;
57
      sk_o      : out std_logic;
58
      sk_en_o   : out std_logic
59
    );
60
  end component;
61
 
62 143 arniml
  component t410
63
    generic (
64
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
65
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
66
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
67
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
68
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
69
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
70
      opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
71
      opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
72
      opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
73
      opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
74
      opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
75
      opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
76
      opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
77
      opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
78
      opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
79
      opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
80
      opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
81
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
82
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
83
    );
84
    port (
85
      ck_i      : in    std_logic;
86
      ck_en_i   : in    std_logic;
87
      reset_n_i : in    std_logic;
88
      io_l_b    : inout std_logic_vector(7 downto 0);
89
      io_d_o    : out   std_logic_vector(3 downto 0);
90
      io_g_b    : inout std_logic_vector(3 downto 0);
91
      si_i      : in    std_logic;
92
      so_o      : out   std_logic;
93
      sk_o      : out   std_logic
94
    );
95
  end component;
96
 
97 2 arniml
  component t411
98
    generic (
99
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
100
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
101
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
102
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
103
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
104
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
105
      opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
106
      opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
107
      opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
108
      opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
109
      opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
110
      opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
111
      opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
112
      opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
113
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
114
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
115
    );
116
    port (
117
      ck_i      : in    std_logic;
118
      ck_en_i   : in    std_logic;
119
      reset_n_i : in    std_logic;
120
      si_i      : in    std_logic;
121
      so_o      : out   std_logic;
122
      sk_o      : out   std_logic;
123
      io_l_b    : inout std_logic_vector(7 downto 0);
124
      io_d_o    : out   std_logic_vector(1 downto 0);
125
      io_g_b    : inout std_logic_vector(2 downto 0)
126
    );
127
  end component;
128
 
129 14 arniml
  component t420_notri
130
    generic (
131 136 arniml
      opt_type_g           : integer := t400_opt_type_420_c;
132 14 arniml
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
133
      opt_cko_g            : integer := t400_opt_cko_crystal_c;
134
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
135
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
136
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
137
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
138
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
139
      opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
140
      opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
141
      opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
142 107 arniml
      opt_microbus_g       : integer := t400_opt_no_microbus_c;
143 14 arniml
      opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
144
      opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
145
      opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
146
      opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
147
      opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
148
      opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
149
      opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
150
      opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
151
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
152
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
153
    );
154
    port (
155
      ck_i      : in  std_logic;
156
      ck_en_i   : in  std_logic;
157
      reset_n_i : in  std_logic;
158
      cko_i     : in  std_logic;
159
      io_l_i    : in  std_logic_vector(7 downto 0);
160
      io_l_o    : out std_logic_vector(7 downto 0);
161
      io_l_en_o : out std_logic_vector(7 downto 0);
162
      io_d_o    : out std_logic_vector(3 downto 0);
163
      io_d_en_o : out std_logic_vector(3 downto 0);
164
      io_g_i    : in  std_logic_vector(3 downto 0);
165
      io_g_o    : out std_logic_vector(3 downto 0);
166
      io_g_en_o : out std_logic_vector(3 downto 0);
167
      io_in_i   : in  std_logic_vector(3 downto 0);
168
      si_i      : in  std_logic;
169
      so_o      : out std_logic;
170
      so_en_o   : out std_logic;
171
      sk_o      : out std_logic;
172
      sk_en_o   : out std_logic
173
    );
174
  end component;
175
 
176
  component t420
177
    generic (
178
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
179 55 arniml
      opt_cko_g            : integer := t400_opt_cko_crystal_c;
180 14 arniml
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
181
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
182
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
183
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
184
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
185
      opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
186
      opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
187
      opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
188 107 arniml
      opt_microbus_g       : integer := t400_opt_no_microbus_c;
189 136 arniml
      opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
190
      opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
191 14 arniml
      opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
192
      opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
193 136 arniml
      opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
194 14 arniml
      opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
195
      opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
196
      opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
197
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
198
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
199
    );
200
    port (
201
      ck_i      : in    std_logic;
202
      ck_en_i   : in    std_logic;
203
      reset_n_i : in    std_logic;
204 55 arniml
      cko_i     : in    std_logic;
205 14 arniml
      io_l_b    : inout std_logic_vector(7 downto 0);
206
      io_d_o    : out   std_logic_vector(3 downto 0);
207
      io_g_b    : inout std_logic_vector(3 downto 0);
208
      io_in_i   : in    std_logic_vector(3 downto 0);
209
      si_i      : in    std_logic;
210
      so_o      : out   std_logic;
211
      sk_o      : out   std_logic
212
    );
213
  end component;
214
 
215 136 arniml
  component t421
216
    generic (
217
      opt_ck_div_g         : integer := t400_opt_ck_div_8_c;
218
      opt_cko_g            : integer := t400_opt_cko_crystal_c;
219
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
220
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
221
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
222
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
223
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
224
      opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
225
      opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
226
      opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
227
      opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
228
      opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
229
      opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
230
      opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
231
      opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
232
      opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
233
      opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
234
      opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
235
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
236
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
237
    );
238
    port (
239
      ck_i      : in    std_logic;
240
      ck_en_i   : in    std_logic;
241
      reset_n_i : in    std_logic;
242
      cko_i     : in    std_logic;
243
      io_l_b    : inout std_logic_vector(7 downto 0);
244
      io_d_o    : out   std_logic_vector(3 downto 0);
245
      io_g_b    : inout std_logic_vector(3 downto 0);
246
      si_i      : in    std_logic;
247
      so_o      : out   std_logic;
248
      sk_o      : out   std_logic
249
    );
250
  end component;
251
 
252 2 arniml
end t400_system_comp_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.