OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [system/] [t410.vhd] - Blame information for rev 179

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 144 arniml
-------------------------------------------------------------------------------
2
--
3
-- T410 system toplevel.
4
--
5 179 arniml
-- $Id: t410.vhd 179 2009-04-01 19:48:38Z arniml $
6 144 arniml
--
7
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
8
--
9
-- All rights reserved
10
--
11
-- Redistribution and use in source and synthezised forms, with or without
12
-- modification, are permitted provided that the following conditions are met:
13
--
14
-- Redistributions of source code must retain the above copyright notice,
15
-- this list of conditions and the following disclaimer.
16
--
17
-- Redistributions in synthesized form must reproduce the above copyright
18
-- notice, this list of conditions and the following disclaimer in the
19
-- documentation and/or other materials provided with the distribution.
20
--
21
-- Neither the name of the author nor the names of other contributors may
22
-- be used to endorse or promote products derived from this software without
23
-- specific prior written permission.
24
--
25
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
26
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
27
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
28
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
29
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
30
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
31
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
32
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
33
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
34
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
35
-- POSSIBILITY OF SUCH DAMAGE.
36
--
37
-- Please report bugs to the author, but before you do so, please
38
-- make sure that this is not a derivative work and that
39
-- you have the latest version of this file.
40
--
41
-- The latest version of this file can be found at:
42
--      http://www.opencores.org/cvsweb.shtml/t400/
43
--
44
-------------------------------------------------------------------------------
45
 
46
library ieee;
47
use ieee.std_logic_1164.all;
48
 
49
use work.t400_opt_pack.all;
50
 
51
entity t410 is
52
 
53
  generic (
54
    opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
55
    opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
56
    opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
57
    opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
58
    opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
59
    opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
60
    opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
61
    opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
62
    opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
63
    opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
64
    opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
65
    opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
66
    opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
67
    opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
68
    opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
69
    opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
70
    opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
71
    opt_so_output_type_g : integer := t400_opt_out_type_std_c;
72
    opt_sk_output_type_g : integer := t400_opt_out_type_std_c
73
  );
74
  port (
75
    ck_i      : in    std_logic;
76
    ck_en_i   : in    std_logic;
77
    reset_n_i : in    std_logic;
78
    io_l_b    : inout std_logic_vector(7 downto 0);
79
    io_d_o    : out   std_logic_vector(3 downto 0);
80
    io_g_b    : inout std_logic_vector(3 downto 0);
81
    si_i      : in    std_logic;
82
    so_o      : out   std_logic;
83
    sk_o      : out   std_logic
84
  );
85
 
86
end t410;
87
 
88
 
89
use work.t400_system_comp_pack.t410_notri;
90
 
91
architecture struct of t410 is
92
 
93
  signal io_l_from_t410_s,
94
         io_l_en_s         : std_logic_vector(7 downto 0);
95
  signal io_d_from_t410_s,
96
         io_d_en_s         : std_logic_vector(3 downto 0);
97
  signal io_g_to_t410_s,
98
         io_g_from_t410_s,
99
         io_g_en_s         : std_logic_vector(3 downto 0);
100
 
101
  signal so_s,
102
         so_en_s           : std_logic;
103
  signal sk_s,
104
         sk_en_s           : std_logic;
105
 
106
  signal gnd_s             : std_logic;
107
 
108
begin
109
 
110
  gnd_s <= '0';
111
 
112
  -----------------------------------------------------------------------------
113
  -- T410 without tri-states
114
  -----------------------------------------------------------------------------
115
  t410_notri_b : t410_notri
116
    generic map (
117
      opt_ck_div_g         => opt_ck_div_g,
118
      opt_cko_g            => t400_opt_cko_crystal_c,
119
      opt_l_out_type_7_g   => opt_l_out_type_7_g,
120
      opt_l_out_type_6_g   => opt_l_out_type_6_g,
121
      opt_l_out_type_5_g   => opt_l_out_type_5_g,
122
      opt_l_out_type_4_g   => opt_l_out_type_4_g,
123
      opt_l_out_type_3_g   => opt_l_out_type_3_g,
124
      opt_l_out_type_2_g   => opt_l_out_type_2_g,
125
      opt_l_out_type_1_g   => opt_l_out_type_1_g,
126
      opt_l_out_type_0_g   => opt_l_out_type_0_g,
127
      opt_d_out_type_3_g   => opt_d_out_type_3_g,
128
      opt_d_out_type_2_g   => opt_d_out_type_2_g,
129
      opt_d_out_type_1_g   => opt_d_out_type_1_g,
130
      opt_d_out_type_0_g   => opt_d_out_type_0_g,
131
      opt_g_out_type_3_g   => opt_g_out_type_3_g,
132
      opt_g_out_type_2_g   => opt_g_out_type_2_g,
133
      opt_g_out_type_1_g   => opt_g_out_type_1_g,
134
      opt_g_out_type_0_g   => opt_g_out_type_0_g,
135
      opt_so_output_type_g => opt_so_output_type_g,
136
      opt_sk_output_type_g => opt_sk_output_type_g
137
    )
138
    port map (
139
      ck_i      => ck_i,
140
      ck_en_i   => ck_en_i,
141
      reset_n_i => reset_n_i,
142
      cko_i     => gnd_s,
143
      io_l_i    => io_l_b,
144
      io_l_o    => io_l_from_t410_s,
145
      io_l_en_o => io_l_en_s,
146
      io_d_o    => io_d_from_t410_s,
147
      io_d_en_o => io_d_en_s,
148
      io_g_i    => io_g_b,
149
      io_g_o    => io_g_from_t410_s,
150
      io_g_en_o => io_g_en_s,
151
      si_i      => si_i,
152
      so_o      => so_s,
153
      so_en_o   => so_en_s,
154
      sk_o      => sk_s,
155
      sk_en_o   => sk_en_s
156
    );
157
 
158
 
159
  -----------------------------------------------------------------------------
160
  -- Tri-states for output drivers
161
  -----------------------------------------------------------------------------
162
  io_l_tri: for idx in 7 downto 0 generate
163
    io_l_b(idx)  <=   io_l_from_t410_s(idx)
164
                    when io_l_en_s(idx) = '1' else
165
                      'Z';
166
  end generate;
167
  --
168
  io_d_tri: for idx in 3 downto 0 generate
169
    io_d_o(idx)  <=   io_d_from_t410_s(idx)
170
                    when io_d_en_s(idx) = '1' else
171
                      'Z';
172
  end generate;
173
  --
174
  io_g_tri: for idx in 3 downto 0 generate
175
    io_g_b(idx)  <=   io_g_from_t410_s(idx)
176
                    when io_g_en_s(idx) = '1' else
177
                      'Z';
178
  end generate;
179
  --
180
  so_o           <=   so_s
181
                    when so_en_s = '1' else
182
                      'Z';
183
  --
184
  sk_o           <=   sk_s
185
                    when sk_en_s = '1' else
186
                      'Z';
187
 
188
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.