OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [system/] [t420.vhd] - Blame information for rev 179

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 arniml
-------------------------------------------------------------------------------
2
--
3
-- T420 system toplevel.
4
--
5 179 arniml
-- $Id: t420.vhd 179 2009-04-01 19:48:38Z arniml $
6 15 arniml
--
7
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
8
--
9
-- All rights reserved
10
--
11
-- Redistribution and use in source and synthezised forms, with or without
12
-- modification, are permitted provided that the following conditions are met:
13
--
14
-- Redistributions of source code must retain the above copyright notice,
15
-- this list of conditions and the following disclaimer.
16
--
17
-- Redistributions in synthesized form must reproduce the above copyright
18
-- notice, this list of conditions and the following disclaimer in the
19
-- documentation and/or other materials provided with the distribution.
20
--
21
-- Neither the name of the author nor the names of other contributors may
22
-- be used to endorse or promote products derived from this software without
23
-- specific prior written permission.
24
--
25
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
26
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
27
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
28
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
29
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
30
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
31 175 arniml
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
32 15 arniml
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
33
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
34
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
35
-- POSSIBILITY OF SUCH DAMAGE.
36
--
37
-- Please report bugs to the author, but before you do so, please
38
-- make sure that this is not a derivative work and that
39
-- you have the latest version of this file.
40
--
41
-- The latest version of this file can be found at:
42
--      http://www.opencores.org/cvsweb.shtml/t400/
43
--
44
-------------------------------------------------------------------------------
45
 
46
library ieee;
47
use ieee.std_logic_1164.all;
48
 
49
use work.t400_opt_pack.all;
50
 
51
entity t420 is
52
 
53
  generic (
54 39 arniml
    opt_ck_div_g         : integer := t400_opt_ck_div_8_c;
55 55 arniml
    opt_cko_g            : integer := t400_opt_cko_crystal_c;
56 15 arniml
    opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
57
    opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
58
    opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
59
    opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
60
    opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
61
    opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
62
    opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
63
    opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
64 110 arniml
    opt_microbus_g       : integer := t400_opt_no_microbus_c;
65 138 arniml
    opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
66
    opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
67 15 arniml
    opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
68
    opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
69 138 arniml
    opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
70 15 arniml
    opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
71
    opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
72
    opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
73
    opt_so_output_type_g : integer := t400_opt_out_type_std_c;
74
    opt_sk_output_type_g : integer := t400_opt_out_type_std_c
75
  );
76
  port (
77
    ck_i      : in    std_logic;
78
    ck_en_i   : in    std_logic;
79
    reset_n_i : in    std_logic;
80 55 arniml
    cko_i     : in    std_logic;
81 15 arniml
    io_l_b    : inout std_logic_vector(7 downto 0);
82
    io_d_o    : out   std_logic_vector(3 downto 0);
83
    io_g_b    : inout std_logic_vector(3 downto 0);
84
    io_in_i   : in    std_logic_vector(3 downto 0);
85
    si_i      : in    std_logic;
86
    so_o      : out   std_logic;
87
    sk_o      : out   std_logic
88
  );
89
 
90
end t420;
91
 
92
 
93
use work.t400_system_comp_pack.t420_notri;
94
 
95
architecture struct of t420 is
96
 
97
  signal io_l_from_t420_s,
98
         io_l_en_s         : std_logic_vector(7 downto 0);
99
  signal io_d_from_t420_s,
100
         io_d_en_s         : std_logic_vector(3 downto 0);
101 27 arniml
  signal io_g_from_t420_s,
102 15 arniml
         io_g_en_s         : std_logic_vector(3 downto 0);
103
 
104
  signal so_s,
105
         so_en_s           : std_logic;
106
  signal sk_s,
107
         sk_en_s           : std_logic;
108
 
109
begin
110
 
111
  -----------------------------------------------------------------------------
112
  -- T420 without tri-states
113
  -----------------------------------------------------------------------------
114
  t420_notri_b : t420_notri
115
    generic map (
116 138 arniml
      opt_type_g           => t400_opt_type_420_c,
117 15 arniml
      opt_ck_div_g         => opt_ck_div_g,
118 55 arniml
      opt_cko_g            => opt_cko_g,
119 15 arniml
      opt_l_out_type_7_g   => opt_l_out_type_7_g,
120
      opt_l_out_type_6_g   => opt_l_out_type_6_g,
121
      opt_l_out_type_5_g   => opt_l_out_type_5_g,
122
      opt_l_out_type_4_g   => opt_l_out_type_4_g,
123
      opt_l_out_type_3_g   => opt_l_out_type_3_g,
124
      opt_l_out_type_2_g   => opt_l_out_type_2_g,
125
      opt_l_out_type_1_g   => opt_l_out_type_1_g,
126
      opt_l_out_type_0_g   => opt_l_out_type_0_g,
127 110 arniml
      opt_microbus_g       => opt_microbus_g,
128 138 arniml
      opt_d_out_type_3_g   => opt_d_out_type_3_g,
129
      opt_d_out_type_2_g   => opt_d_out_type_2_g,
130 15 arniml
      opt_d_out_type_1_g   => opt_d_out_type_1_g,
131
      opt_d_out_type_0_g   => opt_d_out_type_0_g,
132 138 arniml
      opt_g_out_type_3_g   => opt_g_out_type_3_g,
133 15 arniml
      opt_g_out_type_2_g   => opt_g_out_type_2_g,
134
      opt_g_out_type_1_g   => opt_g_out_type_1_g,
135
      opt_g_out_type_0_g   => opt_g_out_type_0_g,
136
      opt_so_output_type_g => opt_so_output_type_g,
137
      opt_sk_output_type_g => opt_sk_output_type_g
138
    )
139
    port map (
140
      ck_i      => ck_i,
141
      ck_en_i   => ck_en_i,
142
      reset_n_i => reset_n_i,
143 55 arniml
      cko_i     => cko_i,
144 15 arniml
      io_l_i    => io_l_b,
145
      io_l_o    => io_l_from_t420_s,
146
      io_l_en_o => io_l_en_s,
147
      io_d_o    => io_d_from_t420_s,
148
      io_d_en_o => io_d_en_s,
149 27 arniml
      io_g_i    => io_g_b,
150 15 arniml
      io_g_o    => io_g_from_t420_s,
151
      io_g_en_o => io_g_en_s,
152
      io_in_i   => io_in_i,
153
      si_i      => si_i,
154
      so_o      => so_s,
155
      so_en_o   => so_en_s,
156
      sk_o      => sk_s,
157
      sk_en_o   => sk_en_s
158
    );
159
 
160
 
161
  -----------------------------------------------------------------------------
162
  -- Tri-states for output drivers
163
  -----------------------------------------------------------------------------
164
  io_l_tri: for idx in 7 downto 0 generate
165
    io_l_b(idx)  <=   io_l_from_t420_s(idx)
166
                    when io_l_en_s(idx) = '1' else
167
                      'Z';
168
  end generate;
169
  --
170
  io_d_tri: for idx in 3 downto 0 generate
171
    io_d_o(idx)  <=   io_d_from_t420_s(idx)
172
                    when io_d_en_s(idx) = '1' else
173
                      'Z';
174
  end generate;
175
  --
176
  io_g_tri: for idx in 3 downto 0 generate
177
    io_g_b(idx)  <=   io_g_from_t420_s(idx)
178
                    when io_g_en_s(idx) = '1' else
179
                      'Z';
180
  end generate;
181
  --
182
  so_o           <=   so_s
183
                    when so_en_s = '1' else
184
                      'Z';
185
  --
186
  sk_o           <=   sk_s
187
                    when sk_en_s = '1' else
188
                      'Z';
189
 
190
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.