OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [system/] [t420_notri.vhd] - Blame information for rev 179

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 arniml
-------------------------------------------------------------------------------
2
--
3
-- T420/421 controller toplevel without tri-states.
4
--
5 179 arniml
-- $Id: t420_notri.vhd 179 2009-04-01 19:48:38Z arniml $
6 15 arniml
--
7
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
8
--
9
-- All rights reserved
10
--
11
-- Redistribution and use in source and synthezised forms, with or without
12
-- modification, are permitted provided that the following conditions are met:
13
--
14
-- Redistributions of source code must retain the above copyright notice,
15
-- this list of conditions and the following disclaimer.
16
--
17
-- Redistributions in synthesized form must reproduce the above copyright
18
-- notice, this list of conditions and the following disclaimer in the
19
-- documentation and/or other materials provided with the distribution.
20
--
21
-- Neither the name of the author nor the names of other contributors may
22
-- be used to endorse or promote products derived from this software without
23
-- specific prior written permission.
24
--
25
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
26
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
27
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
28
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
29
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
30
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
31
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
32
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
33
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
34
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
35
-- POSSIBILITY OF SUCH DAMAGE.
36
--
37
-- Please report bugs to the author, but before you do so, please
38
-- make sure that this is not a derivative work and that
39
-- you have the latest version of this file.
40
--
41
-- The latest version of this file can be found at:
42
--      http://www.opencores.org/cvsweb.shtml/t400/
43
--
44
-------------------------------------------------------------------------------
45
 
46
library ieee;
47
use ieee.std_logic_1164.all;
48
 
49
use work.t400_opt_pack.all;
50
 
51
entity t420_notri is
52
 
53
  generic (
54 137 arniml
    opt_type_g           : integer := t400_opt_type_420_c;
55 15 arniml
    opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
56
    opt_cko_g            : integer := t400_opt_cko_crystal_c;
57
    opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
58
    opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
59
    opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
60
    opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
61
    opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
62
    opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
63
    opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
64
    opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
65 109 arniml
    opt_microbus_g       : integer := t400_opt_no_microbus_c;
66 15 arniml
    opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
67
    opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
68
    opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
69
    opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
70
    opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
71
    opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
72
    opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
73
    opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
74
    opt_so_output_type_g : integer := t400_opt_out_type_std_c;
75
    opt_sk_output_type_g : integer := t400_opt_out_type_std_c
76
  );
77
  port (
78
    ck_i      : in  std_logic;
79
    ck_en_i   : in  std_logic;
80
    reset_n_i : in  std_logic;
81
    cko_i     : in  std_logic;
82
    io_l_i    : in  std_logic_vector(7 downto 0);
83
    io_l_o    : out std_logic_vector(7 downto 0);
84
    io_l_en_o : out std_logic_vector(7 downto 0);
85
    io_d_o    : out std_logic_vector(3 downto 0);
86
    io_d_en_o : out std_logic_vector(3 downto 0);
87
    io_g_i    : in  std_logic_vector(3 downto 0);
88
    io_g_o    : out std_logic_vector(3 downto 0);
89
    io_g_en_o : out std_logic_vector(3 downto 0);
90
    io_in_i   : in  std_logic_vector(3 downto 0);
91
    si_i      : in  std_logic;
92
    so_o      : out std_logic;
93
    so_en_o   : out std_logic;
94
    sk_o      : out std_logic;
95
    sk_en_o   : out std_logic
96
  );
97
 
98
end t420_notri;
99
 
100
 
101
use work.t400_core_comp_pack.t400_core;
102
use work.t400_tech_comp_pack.t400_por;
103 109 arniml
use work.t400_tech_comp_pack.generic_ram_ena;
104 15 arniml
 
105
architecture struct of t420_notri is
106
 
107
  component t420_rom
108
    port (
109
      ck_i   : in  std_logic;
110
      addr_i : in  std_logic_vector(9 downto 0);
111
      data_o : out std_logic_vector(7 downto 0)
112
    );
113
  end component;
114
 
115
  signal por_n_s             : std_logic;
116
 
117
  signal pm_addr_s           : std_logic_vector(9 downto 0);
118
  signal pm_data_s           : std_logic_vector(7 downto 0);
119
 
120
  signal dm_addr_s           : std_logic_vector(5 downto 0);
121
  signal dm_we_s             : std_logic;
122
  signal dm_data_to_core_s,
123
         dm_data_from_core_s : std_logic_vector(3 downto 0);
124
 
125
begin
126
 
127
  -----------------------------------------------------------------------------
128
  -- T400 core
129
  -----------------------------------------------------------------------------
130
  core_b : t400_core
131
    generic map (
132 137 arniml
      opt_type_g           => opt_type_g,
133 15 arniml
      opt_ck_div_g         => opt_ck_div_g,
134
      opt_cko_g            => opt_cko_g,
135
      opt_l_out_type_7_g   => opt_l_out_type_7_g,
136
      opt_l_out_type_6_g   => opt_l_out_type_6_g,
137
      opt_l_out_type_5_g   => opt_l_out_type_5_g,
138
      opt_l_out_type_4_g   => opt_l_out_type_4_g,
139
      opt_l_out_type_3_g   => opt_l_out_type_3_g,
140
      opt_l_out_type_2_g   => opt_l_out_type_2_g,
141
      opt_l_out_type_1_g   => opt_l_out_type_1_g,
142
      opt_l_out_type_0_g   => opt_l_out_type_0_g,
143 109 arniml
      opt_microbus_g       => opt_microbus_g,
144 15 arniml
      opt_d_out_type_3_g   => opt_d_out_type_3_g,
145
      opt_d_out_type_2_g   => opt_d_out_type_2_g,
146
      opt_d_out_type_1_g   => opt_d_out_type_1_g,
147
      opt_d_out_type_0_g   => opt_d_out_type_0_g,
148
      opt_g_out_type_3_g   => opt_g_out_type_3_g,
149
      opt_g_out_type_2_g   => opt_g_out_type_2_g,
150
      opt_g_out_type_1_g   => opt_g_out_type_1_g,
151
      opt_g_out_type_0_g   => opt_g_out_type_0_g,
152
      opt_so_output_type_g => opt_so_output_type_g,
153
      opt_sk_output_type_g => opt_sk_output_type_g
154
    )
155
    port map (
156
      ck_i      => ck_i,
157
      ck_en_i   => ck_en_i,
158
      por_n_i   => por_n_s,
159
      reset_n_i => reset_n_i,
160
      cko_i     => cko_i,
161
      pm_addr_o => pm_addr_s,
162
      pm_data_i => pm_data_s,
163
      dm_addr_o => dm_addr_s,
164
      dm_we_o   => dm_we_s,
165
      dm_data_o => dm_data_from_core_s,
166
      dm_data_i => dm_data_to_core_s,
167
      io_l_i    => io_l_i,
168
      io_l_o    => io_l_o,
169
      io_l_en_o => io_l_en_o,
170
      io_d_o    => io_d_o,
171
      io_d_en_o => io_d_en_o,
172
      io_g_i    => io_g_i,
173
      io_g_o    => io_g_o,
174
      io_g_en_o => io_g_en_o,
175
      io_in_i   => io_in_i,
176
      si_i      => si_i,
177
      so_o      => so_o,
178
      so_en_o   => so_en_o,
179
      sk_o      => sk_o,
180
      sk_en_o   => sk_en_o
181
    );
182
 
183
 
184
  -----------------------------------------------------------------------------
185
  -- Program memory
186
  -----------------------------------------------------------------------------
187
  pmem_b : t420_rom
188
    port map (
189
      ck_i   => ck_i,
190
      addr_i => pm_addr_s,
191
      data_o => pm_data_s
192
    );
193
 
194
 
195
  -----------------------------------------------------------------------------
196
  -- Data memory
197
  -----------------------------------------------------------------------------
198 109 arniml
  dmem_b : generic_ram_ena
199 15 arniml
    generic map (
200
      addr_width_g => 6,
201
      data_width_g => 4
202
    )
203
    port map (
204
      clk_i => ck_i,
205
      a_i   => dm_addr_s,
206
      we_i  => dm_we_s,
207 109 arniml
      ena_i => ck_en_i,
208 15 arniml
      d_i   => dm_data_from_core_s,
209
      d_o   => dm_data_to_core_s
210
    );
211
 
212
 
213
  -----------------------------------------------------------------------------
214
  -- Power-on reset circuit
215
  -----------------------------------------------------------------------------
216
  por_b : t400_por
217
    generic map (
218
      delay_g     => 4,
219
      cnt_width_g => 2
220
    )
221
    port map (
222
      clk_i   => ck_i,
223
      por_n_o => por_n_s
224
    );
225
 
226
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.