OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [system/] [t421.vhd] - Blame information for rev 179

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 139 arniml
-------------------------------------------------------------------------------
2
--
3
-- T421 system toplevel.
4
--
5 179 arniml
-- $Id: t421.vhd 179 2009-04-01 19:48:38Z arniml $
6 139 arniml
--
7
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
8
--
9
-- All rights reserved
10
--
11
-- Redistribution and use in source and synthezised forms, with or without
12
-- modification, are permitted provided that the following conditions are met:
13
--
14
-- Redistributions of source code must retain the above copyright notice,
15
-- this list of conditions and the following disclaimer.
16
--
17
-- Redistributions in synthesized form must reproduce the above copyright
18
-- notice, this list of conditions and the following disclaimer in the
19
-- documentation and/or other materials provided with the distribution.
20
--
21
-- Neither the name of the author nor the names of other contributors may
22
-- be used to endorse or promote products derived from this software without
23
-- specific prior written permission.
24
--
25
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
26
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
27
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
28
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
29
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
30
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
31
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
32
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
33
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
34
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
35
-- POSSIBILITY OF SUCH DAMAGE.
36
--
37
-- Please report bugs to the author, but before you do so, please
38
-- make sure that this is not a derivative work and that
39
-- you have the latest version of this file.
40
--
41
-- The latest version of this file can be found at:
42
--      http://www.opencores.org/cvsweb.shtml/t400/
43
--
44
-------------------------------------------------------------------------------
45
 
46
library ieee;
47
use ieee.std_logic_1164.all;
48
 
49
use work.t400_opt_pack.all;
50
 
51
entity t421 is
52
 
53
  generic (
54
    opt_ck_div_g         : integer := t400_opt_ck_div_8_c;
55
    opt_cko_g            : integer := t400_opt_cko_crystal_c;
56
    opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
57
    opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
58
    opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
59
    opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
60
    opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
61
    opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
62
    opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
63
    opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
64
    opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
65
    opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
66
    opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
67
    opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
68
    opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
69
    opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
70
    opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
71
    opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
72
    opt_so_output_type_g : integer := t400_opt_out_type_std_c;
73
    opt_sk_output_type_g : integer := t400_opt_out_type_std_c
74
  );
75
  port (
76
    ck_i      : in    std_logic;
77
    ck_en_i   : in    std_logic;
78
    reset_n_i : in    std_logic;
79
    cko_i     : in    std_logic;
80
    io_l_b    : inout std_logic_vector(7 downto 0);
81
    io_d_o    : out   std_logic_vector(3 downto 0);
82
    io_g_b    : inout std_logic_vector(3 downto 0);
83
    si_i      : in    std_logic;
84
    so_o      : out   std_logic;
85
    sk_o      : out   std_logic
86
  );
87
 
88
end t421;
89
 
90
 
91
use work.t400_system_comp_pack.t420_notri;
92
 
93
architecture struct of t421 is
94
 
95
  signal io_l_from_t420_s,
96
         io_l_en_s         : std_logic_vector(7 downto 0);
97
  signal io_d_from_t420_s,
98
         io_d_en_s         : std_logic_vector(3 downto 0);
99
  signal io_g_from_t420_s,
100
         io_g_en_s         : std_logic_vector(3 downto 0);
101
 
102
  signal so_s,
103
         so_en_s           : std_logic;
104
  signal sk_s,
105
         sk_en_s           : std_logic;
106
 
107
  signal vdd4_s            : std_logic_vector(3 downto 0);
108
 
109
begin
110
 
111
  vdd4_s <= (others => '1');
112
 
113
  -----------------------------------------------------------------------------
114
  -- T420 without tri-states in T421 configuration
115
  -----------------------------------------------------------------------------
116
  t420_notri_b : t420_notri
117
    generic map (
118
      opt_type_g           => t400_opt_type_421_c,
119
      opt_ck_div_g         => opt_ck_div_g,
120
      opt_cko_g            => opt_cko_g,
121
      opt_l_out_type_7_g   => opt_l_out_type_7_g,
122
      opt_l_out_type_6_g   => opt_l_out_type_6_g,
123
      opt_l_out_type_5_g   => opt_l_out_type_5_g,
124
      opt_l_out_type_4_g   => opt_l_out_type_4_g,
125
      opt_l_out_type_3_g   => opt_l_out_type_3_g,
126
      opt_l_out_type_2_g   => opt_l_out_type_2_g,
127
      opt_l_out_type_1_g   => opt_l_out_type_1_g,
128
      opt_l_out_type_0_g   => opt_l_out_type_0_g,
129
      opt_microbus_g       => t400_opt_no_microbus_c,
130
      opt_d_out_type_3_g   => opt_d_out_type_3_g,
131
      opt_d_out_type_2_g   => opt_d_out_type_2_g,
132
      opt_d_out_type_1_g   => opt_d_out_type_1_g,
133
      opt_d_out_type_0_g   => opt_d_out_type_0_g,
134
      opt_g_out_type_3_g   => opt_g_out_type_3_g,
135
      opt_g_out_type_2_g   => opt_g_out_type_2_g,
136
      opt_g_out_type_1_g   => opt_g_out_type_1_g,
137
      opt_g_out_type_0_g   => opt_g_out_type_0_g,
138
      opt_so_output_type_g => opt_so_output_type_g,
139
      opt_sk_output_type_g => opt_sk_output_type_g
140
    )
141
    port map (
142
      ck_i      => ck_i,
143
      ck_en_i   => ck_en_i,
144
      reset_n_i => reset_n_i,
145
      cko_i     => cko_i,
146
      io_l_i    => io_l_b,
147
      io_l_o    => io_l_from_t420_s,
148
      io_l_en_o => io_l_en_s,
149
      io_d_o    => io_d_from_t420_s,
150
      io_d_en_o => io_d_en_s,
151
      io_g_i    => io_g_b,
152
      io_g_o    => io_g_from_t420_s,
153
      io_g_en_o => io_g_en_s,
154
      io_in_i   => vdd4_s,
155
      si_i      => si_i,
156
      so_o      => so_s,
157
      so_en_o   => so_en_s,
158
      sk_o      => sk_s,
159
      sk_en_o   => sk_en_s
160
    );
161
 
162
 
163
  -----------------------------------------------------------------------------
164
  -- Tri-states for output drivers
165
  -----------------------------------------------------------------------------
166
  io_l_tri: for idx in 7 downto 0 generate
167
    io_l_b(idx)  <=   io_l_from_t420_s(idx)
168
                    when io_l_en_s(idx) = '1' else
169
                      'Z';
170
  end generate;
171
  --
172
  io_d_tri: for idx in 3 downto 0 generate
173
    io_d_o(idx)  <=   io_d_from_t420_s(idx)
174
                    when io_d_en_s(idx) = '1' else
175
                      'Z';
176
  end generate;
177
  --
178
  io_g_tri: for idx in 3 downto 0 generate
179
    io_g_b(idx)  <=   io_g_from_t420_s(idx)
180
                    when io_g_en_s(idx) = '1' else
181
                      'Z';
182
  end generate;
183
  --
184
  so_o           <=   so_s
185
                    when so_en_s = '1' else
186
                      'Z';
187
  --
188
  sk_o           <=   sk_s
189
                    when sk_en_s = '1' else
190
                      'Z';
191
 
192
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.