OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_comp_pack-p.vhd] - Blame information for rev 179

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arniml
-------------------------------------------------------------------------------
2
--
3 179 arniml
-- $Id: t400_comp_pack-p.vhd 179 2009-04-01 19:48:38Z arniml $
4 2 arniml
--
5
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
use work.t400_opt_pack.all;
15
use work.t400_pack.all;
16
 
17
package t400_comp_pack is
18
 
19
  component t400_clkgen
20
    generic (
21
      opt_ck_div_g : integer := t400_opt_ck_div_16_c
22
    );
23
    port (
24
      -- System Interface -----------------------------------------------------
25
      ck_i      : in  std_logic;
26
      ck_en_i   : in  boolean;
27
      por_i     : in  boolean;
28
      -- Clock Interface ------------------------------------------------------
29
      phi1_o    : out std_logic;
30
      out_en_o  : out boolean;
31
      in_en_o   : out boolean;
32
      icyc_en_o : out boolean
33
    );
34
  end component;
35
 
36
  component t400_reset
37
    port (
38
      -- System Interface -----------------------------------------------------
39
      ck_i      : in  std_logic;
40
      icyc_en_i : in  boolean;
41
      -- Reset Interface ------------------------------------------------------
42
      por_i     : in  boolean;
43
      reset_n_i : in  std_logic;
44
      res_o     : out boolean
45
    );
46
  end component;
47
 
48
  component t400_stack
49
    generic (
50
      opt_type_g : integer := t400_opt_type_420_c
51
    );
52
    port (
53
      -- System Interface -----------------------------------------------------
54
      ck_i    : in  std_logic;
55
      ck_en_i : in  boolean;
56
      por_i   : in  boolean;
57
      -- Stack Control Interface ----------------------------------------------
58
      op_i    : in  stack_op_t;
59
      -- Program Counter Interface --------------------------------------------
60
      pc_i    : in  pc_t;
61
      pc_o    : out pc_t
62
    );
63
  end component;
64
 
65
  component t400_pmem_ctrl
66
    generic (
67
      opt_type_g : integer := t400_opt_type_420_c
68
    );
69
    port (
70
      -- System Interface -----------------------------------------------------
71
      ck_i       : in  std_logic;
72
      ck_en_i    : in  boolean;
73
      por_i      : in  boolean;
74
      res_i      : in  boolean;
75
      a_i        : in  dw_t;
76
      m_i        : in  dw_t;
77
      -- Control Interface ----------------------------------------------------
78
      op_i       : in  pc_op_t;
79
      dec_data_i : in  dec_data_t;
80
      -- Stack Interface ------------------------------------------------------
81
      pc_o       : out pc_t;
82
      pc_i       : in  pc_t;
83
      -- Program Memory Interface ---------------------------------------------
84
      pm_addr_o  : out pc_t
85
    );
86
  end component;
87
 
88
  component t400_alu
89 43 arniml
    generic (
90
      opt_cko_g : integer := t400_opt_cko_crystal_c
91
    );
92 2 arniml
    port (
93
      -- System Interface -----------------------------------------------------
94
      ck_i       : in  std_logic;
95
      ck_en_i    : in  boolean;
96
      por_i      : in  boolean;
97
      res_i      : in  boolean;
98 43 arniml
      cko_i      : in  std_logic;
99 2 arniml
      -- Control Interface ----------------------------------------------------
100
      op_i       : in  alu_op_t;
101
      -- Data Interface -------------------------------------------------------
102
      m_i        : in  dw_t;
103
      dec_data_i : in  dec_data_t;
104
      q_low_i    : in  dw_t;
105
      b_i        : in  b_t;
106
      g_i        : in  dw_t;
107
      in_i       : in  dw_t;
108
      sio_i      : in  dw_t;
109
      a_o        : out dw_t;
110
      carry_o    : out std_logic;
111
      c_o        : out std_logic
112
    );
113
  end component;
114
 
115
  component t400_dmem_ctrl
116
    generic (
117
      opt_type_g : integer := t400_opt_type_420_c
118
    );
119
    port (
120
      -- System Interface -----------------------------------------------------
121
      ck_i       : in  std_logic;
122
      ck_en_i    : in  boolean;
123
      por_i      : in  boolean;
124
      res_i      : in  boolean;
125
      -- Control Interface ----------------------------------------------------
126
      dmem_op_i  : in  dmem_op_t;
127
      b_op_i     : in  b_op_t;
128
      dec_data_i : in  dec_data_t;
129
      a_i        : in  dw_t;
130
      q_high_i   : in  dw_t;
131
      b_o        : out b_t;
132
      -- Data Memory Interface ------------------------------------------------
133
      dm_addr_o  : out dm_addr_t;
134
      dm_data_i  : in  dw_t;
135
      dm_data_o  : out dw_t;
136
      dm_we_o    : out std_logic
137
    );
138
  end component;
139
 
140
  component t400_decoder
141
    generic (
142
      opt_type_g : integer := t400_opt_type_420_c
143
    );
144
    port (
145 102 arniml
      -- System Interface -----------------------------------------------------
146 2 arniml
      ck_i       : in  std_logic;
147
      ck_en_i    : in  boolean;
148
      por_i      : in  boolean;
149
      res_i      : in  boolean;
150
      out_en_i   : in  boolean;
151
      in_en_i    : in  boolean;
152
      icyc_en_i  : in  boolean;
153 102 arniml
      -- Module Control Interface ---------------------------------------------
154 2 arniml
      pc_op_o    : out pc_op_t;
155
      stack_op_o : out stack_op_t;
156
      dmem_op_o  : out dmem_op_t;
157
      b_op_o     : out b_op_t;
158
      skip_op_o  : out skip_op_t;
159
      alu_op_o   : out alu_op_t;
160
      io_l_op_o  : out io_l_op_t;
161
      io_d_op_o  : out io_d_op_t;
162
      io_g_op_o  : out io_g_op_t;
163 49 arniml
      io_in_op_o : out io_in_op_t;
164 2 arniml
      sio_op_o   : out sio_op_t;
165
      dec_data_o : out dec_data_t;
166
      en_o       : out dw_t;
167 102 arniml
      -- Skip Interface -------------------------------------------------------
168 2 arniml
      skip_i     : in  boolean;
169
      skip_lbi_i : in  boolean;
170 102 arniml
      is_lbi_o   : out boolean;
171 68 arniml
      int_i      : in  boolean;
172 102 arniml
      -- Program Memory Interface ---------------------------------------------
173 2 arniml
      pm_addr_i  : in  pc_t;
174
      pm_data_i  : in  byte_t
175
    );
176
  end component;
177
 
178
  component t400_skip
179 68 arniml
    generic (
180
      opt_type_g : integer := t400_opt_type_420_c
181
    );
182 2 arniml
    port (
183 102 arniml
      -- System Interface -----------------------------------------------------
184 2 arniml
      ck_i       : in  std_logic;
185
      ck_en_i    : in  boolean;
186
      por_i      : in  boolean;
187
      res_i      : in  boolean;
188 102 arniml
      -- Control Interface ----------------------------------------------------
189 2 arniml
      op_i       : in  skip_op_t;
190
      dec_data_i : in  dec_data_t;
191
      carry_i    : in  std_logic;
192
      c_i        : in  std_logic;
193
      bd_i       : in  dw_t;
194
      is_lbi_i   : in  boolean;
195 102 arniml
      skip_o     : out boolean;
196
      skip_lbi_o : out boolean;
197
      -- Data Interface -------------------------------------------------------
198 2 arniml
      a_i        : in  dw_t;
199
      m_i        : in  dw_t;
200
      g_i        : in  dw_t;
201 102 arniml
      tim_c_i    : in  boolean
202 2 arniml
    );
203
  end component;
204
 
205
  component t400_io_l
206
    generic (
207
      opt_out_type_7_g : integer := t400_opt_out_type_std_c;
208
      opt_out_type_6_g : integer := t400_opt_out_type_std_c;
209
      opt_out_type_5_g : integer := t400_opt_out_type_std_c;
210
      opt_out_type_4_g : integer := t400_opt_out_type_std_c;
211
      opt_out_type_3_g : integer := t400_opt_out_type_std_c;
212
      opt_out_type_2_g : integer := t400_opt_out_type_std_c;
213
      opt_out_type_1_g : integer := t400_opt_out_type_std_c;
214
      opt_out_type_0_g : integer := t400_opt_out_type_std_c;
215
      opt_microbus_g   : integer := t400_opt_no_microbus_c
216
    );
217
    port (
218
      -- System Interface -----------------------------------------------------
219
      ck_i      : in  std_logic;
220
      ck_en_i   : in  boolean;
221
      por_i     : in  boolean;
222 102 arniml
      in_en_i   : in  boolean;
223 2 arniml
      -- Control Interface ----------------------------------------------------
224
      op_i      : in  io_l_op_t;
225
      en2_i     : in  std_logic;
226
      m_i       : in  dw_t;
227
      a_i       : in  dw_t;
228
      pm_data_i : in  byte_t;
229
      q_o       : out byte_t;
230
      -- Microbus Interface ---------------------------------------------------
231
      cs_n_i    : in  std_logic;
232
      rd_n_i    : in  std_logic;
233
      wr_n_i    : in  std_logic;
234
      -- Port L Interface -----------------------------------------------------
235
      io_l_i    : in  byte_t;
236
      io_l_o    : out byte_t;
237
      io_l_en_o : out byte_t
238
    );
239
  end component;
240
 
241
  component t400_io_d
242
    generic (
243
      opt_out_type_3_g : integer := t400_opt_out_type_std_c;
244
      opt_out_type_2_g : integer := t400_opt_out_type_std_c;
245
      opt_out_type_1_g : integer := t400_opt_out_type_std_c;
246
      opt_out_type_0_g : integer := t400_opt_out_type_std_c
247
    );
248
    port (
249
      -- System Interface -----------------------------------------------------
250
      ck_i      : in  std_logic;
251
      ck_en_i   : in  boolean;
252
      por_i     : in  boolean;
253
      res_i     : in  boolean;
254
      -- Control Interface ----------------------------------------------------
255
      op_i      : in  io_d_op_t;
256
      bd_i      : in  bd_t;
257
      -- Port D Interface -----------------------------------------------------
258
      io_d_o    : out dw_t;
259
      io_d_en_o : out dw_t
260
    );
261
  end component;
262
 
263
  component t400_io_g
264
    generic (
265
      opt_out_type_3_g : integer := t400_opt_out_type_std_c;
266
      opt_out_type_2_g : integer := t400_opt_out_type_std_c;
267
      opt_out_type_1_g : integer := t400_opt_out_type_std_c;
268 112 arniml
      opt_out_type_0_g : integer := t400_opt_out_type_std_c;
269
      opt_microbus_g   : integer := t400_opt_no_microbus_c
270 2 arniml
    );
271
    port (
272
      -- System Interface -----------------------------------------------------
273
      ck_i       : in  std_logic;
274
      ck_en_i    : in  boolean;
275
      por_i      : in  boolean;
276
      res_i      : in  boolean;
277 112 arniml
      cs_n_i     : in  std_logic;
278
      wr_n_i     : in  std_logic;
279 2 arniml
      -- Control Interface ----------------------------------------------------
280
      op_i       : in  io_g_op_t;
281
      m_i        : in  dw_t;
282
      dec_data_i : in  dec_data_t;
283
      -- Port G Interface -----------------------------------------------------
284
      io_g_o     : out dw_t;
285
      io_g_en_o  : out dw_t
286
    );
287
  end component;
288
 
289 49 arniml
  component t400_io_in
290
    port (
291
      -- System Interface -----------------------------------------------------
292 68 arniml
      ck_i      : in  std_logic;
293
      ck_en_i   : in  boolean;
294
      por_i     : in  boolean;
295
      icyc_en_i : in boolean;
296
      in_en_i   : in  boolean;
297 49 arniml
      -- Control Interface ----------------------------------------------------
298 68 arniml
      op_i      : in  io_in_op_t;
299
      en1_i     : in  std_logic;
300 49 arniml
      -- Port Interface -------------------------------------------------------
301 68 arniml
      io_in_i   : in  dw_t;
302
      in_o      : out dw_t;
303
      int_o     : out boolean
304 49 arniml
    );
305
  end component;
306
 
307 2 arniml
  component t400_sio
308
    generic (
309
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
310
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
311
    );
312
    port (
313
      -- System Interface -----------------------------------------------------
314
      ck_i       : in  std_logic;
315
      ck_en_i    : in  boolean;
316
      por_i      : in  boolean;
317
      res_i      : in  boolean;
318
      phi1_i     : in  std_logic;
319
      out_en_i   : in  boolean;
320
      in_en_i    : in  boolean;
321
      -- Control Interface ----------------------------------------------------
322
      op_i       : in  sio_op_t;
323
      en0_i      : in  std_logic;
324
      en3_i      : in  std_logic;
325
      -- SIO Interface --------------------------------------------------------
326
      a_i        : in  dw_t;
327
      c_i        : in  std_logic;
328
      sio_o      : out dw_t;
329
      -- Pad Interface --------------------------------------------------------
330
      si_i       : in  std_logic;
331
      so_o       : out std_logic;
332
      so_en_o    : out std_logic;
333
      sk_o       : out std_logic;
334
      sk_en_o    : out std_logic
335
    );
336
  end component;
337
 
338 37 arniml
  component t400_timer
339
    port (
340
      -- System Interface -----------------------------------------------------
341
      ck_i      : in  std_logic;
342
      ck_en_i   : in  boolean;
343
      por_i     : in  boolean;
344
      icyc_en_i : in  boolean;
345
      -- Skip Interface -------------------------------------------------------
346
      op_i      : in  skip_op_t;
347
      c_o       : out boolean
348
    );
349
  end component;
350
 
351 2 arniml
end t400_comp_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.