OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_core.vhd] - Blame information for rev 179

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arniml
-------------------------------------------------------------------------------
2
--
3
-- T400 Microcontroller Core
4
--
5 179 arniml
-- $Id: t400_core.vhd 179 2009-04-01 19:48:38Z arniml $
6 2 arniml
--
7
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
8
--
9
-- All rights reserved
10
--
11
-- Redistribution and use in source and synthezised forms, with or without
12
-- modification, are permitted provided that the following conditions are met:
13
--
14
-- Redistributions of source code must retain the above copyright notice,
15
-- this list of conditions and the following disclaimer.
16
--
17
-- Redistributions in synthesized form must reproduce the above copyright
18
-- notice, this list of conditions and the following disclaimer in the
19
-- documentation and/or other materials provided with the distribution.
20
--
21
-- Neither the name of the author nor the names of other contributors may
22
-- be used to endorse or promote products derived from this software without
23
-- specific prior written permission.
24
--
25
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
26
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
27
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
28
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
29
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
30
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
31
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
32
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
33
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
34
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
35
-- POSSIBILITY OF SUCH DAMAGE.
36
--
37
-- Please report bugs to the author, but before you do so, please
38
-- make sure that this is not a derivative work and that
39
-- you have the latest version of this file.
40
--
41
-- The latest version of this file can be found at:
42
--      http://www.opencores.org/cvsweb.shtml/t400/
43
--
44
-------------------------------------------------------------------------------
45
 
46
library ieee;
47
use ieee.std_logic_1164.all;
48
 
49
use work.t400_opt_pack.all;
50
 
51
entity t400_core is
52
 
53
  generic (
54
    opt_type_g           : integer := t400_opt_type_420_c;
55
    opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
56
    opt_cko_g            : integer := t400_opt_cko_crystal_c;
57
    opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
58
    opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
59
    opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
60
    opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
61
    opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
62
    opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
63
    opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
64
    opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
65
    opt_microbus_g       : integer := t400_opt_no_microbus_c;
66
    opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
67
    opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
68
    opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
69
    opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
70
    opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
71
    opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
72
    opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
73
    opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
74
    opt_so_output_type_g : integer := t400_opt_out_type_std_c;
75
    opt_sk_output_type_g : integer := t400_opt_out_type_std_c
76
  );
77
  port (
78
    ck_i      : in  std_logic;
79
    ck_en_i   : in  std_logic;
80
    por_n_i   : in  std_logic;
81
    reset_n_i : in  std_logic;
82
    cko_i     : in  std_logic;
83
    pm_addr_o : out std_logic_vector(9 downto 0);
84
    pm_data_i : in  std_logic_vector(7 downto 0);
85
    dm_addr_o : out std_logic_vector(5 downto 0);
86
    dm_we_o   : out std_logic;
87
    dm_data_o : out std_logic_vector(3 downto 0);
88
    dm_data_i : in  std_logic_vector(3 downto 0);
89
    io_l_i    : in  std_logic_vector(7 downto 0);
90
    io_l_o    : out std_logic_vector(7 downto 0);
91
    io_l_en_o : out std_logic_vector(7 downto 0);
92
    io_d_o    : out std_logic_vector(3 downto 0);
93
    io_d_en_o : out std_logic_vector(3 downto 0);
94
    io_g_i    : in  std_logic_vector(3 downto 0);
95
    io_g_o    : out std_logic_vector(3 downto 0);
96
    io_g_en_o : out std_logic_vector(3 downto 0);
97
    io_in_i   : in  std_logic_vector(3 downto 0);
98
    si_i      : in  std_logic;
99
    so_o      : out std_logic;
100
    so_en_o   : out std_logic;
101
    sk_o      : out std_logic;
102
    sk_en_o   : out std_logic
103
  );
104
 
105
end t400_core;
106
 
107
 
108
use work.t400_pack.all;
109
use work.t400_comp_pack.all;
110
 
111
architecture struct of t400_core is
112
 
113
  signal ck_en_s         : boolean;
114
  signal por_s           : boolean;
115
  signal res_s           : boolean;
116
 
117
  signal phi1_s          : std_logic;
118
  signal out_en_s        : boolean;
119
  signal in_en_s         : boolean;
120
  signal icyc_en_s       : boolean;
121
 
122
  signal pm_addr_s       : pc_t;
123
 
124
  signal a_s             : dw_t;
125
  signal dec_data_s      : dec_data_t;
126
 
127
  signal pc_to_stack_s,
128
         pc_from_stack_s : pc_t;
129
 
130
  signal q_s             : byte_t;
131
  signal b_s             : b_t;
132
 
133
  signal c_s,
134
         carry_s         : std_logic;
135
 
136
  signal sio_s           : dw_t;
137
 
138
  signal pc_op_s         : pc_op_t;
139
  signal stack_op_s      : stack_op_t;
140
  signal dmem_op_s       : dmem_op_t;
141
  signal b_op_s          : b_op_t;
142
  signal skip_op_s       : skip_op_t;
143
  signal alu_op_s        : alu_op_t;
144
  signal io_l_op_s       : io_l_op_t;
145
  signal io_d_op_s       : io_d_op_t;
146
  signal io_g_op_s       : io_g_op_t;
147 49 arniml
  signal io_in_op_s      : io_in_op_t;
148 2 arniml
  signal sio_op_s        : sio_op_t;
149
  signal is_lbi_s        : boolean;
150
  signal en_s            : dw_t;
151
 
152
  signal skip_s,
153
         skip_lbi_s      : boolean;
154 37 arniml
  signal tim_c_s         : boolean;
155 2 arniml
 
156 49 arniml
  signal in_s            : dw_t;
157 68 arniml
  signal int_s           : boolean;
158 2 arniml
 
159 53 arniml
  signal io_g_s          : std_logic_vector(io_g_i'range);
160
 
161 115 arniml
  signal cs_n_s,
162
         rd_n_s,
163
         wr_n_s          : std_logic;
164
 
165 2 arniml
begin
166
 
167
  ck_en_s <= ck_en_i = '1';
168 49 arniml
  por_s   <= por_n_i = '0';
169 2 arniml
 
170 53 arniml
  io_g_s  <= to_X01(io_g_i);
171
 
172 2 arniml
  -----------------------------------------------------------------------------
173
  -- Clock generator
174
  -----------------------------------------------------------------------------
175
  clkgen_b : t400_clkgen
176
    generic map (
177
      opt_ck_div_g => opt_ck_div_g
178
    )
179
    port map (
180
      ck_i      => ck_i,
181
      ck_en_i   => ck_en_s,
182
      por_i     => por_s,
183
      phi1_o    => phi1_s,
184
      out_en_o  => out_en_s,
185
      in_en_o   => in_en_s,
186
      icyc_en_o => icyc_en_s
187
    );
188
 
189
 
190
  -----------------------------------------------------------------------------
191
  -- Reset module
192
  -----------------------------------------------------------------------------
193
  reset_b : t400_reset
194
    port map (
195
      ck_i      => ck_i,
196
      icyc_en_i => icyc_en_s,
197
      por_i     => por_s,
198
      reset_n_i => reset_n_i,
199
      res_o     => res_s
200
    );
201
 
202
 
203
  -----------------------------------------------------------------------------
204
  -- Program memory controller
205
  -----------------------------------------------------------------------------
206
  pmem_ctrl_b : t400_pmem_ctrl
207
    generic map (
208
      opt_type_g => opt_type_g
209
    )
210
    port map (
211
      ck_i       => ck_i,
212
      ck_en_i    => ck_en_s,
213
      por_i      => por_s,
214
      res_i      => res_s,
215
      a_i        => a_s,
216
      m_i        => dm_data_i,
217
      op_i       => pc_op_s,
218
      dec_data_i => dec_data_s,
219
      pc_o       => pc_to_stack_s,
220
      pc_i       => pc_from_stack_s,
221
      pm_addr_o  => pm_addr_s
222
    );
223
  --
224
  pm_addr_o <= std_logic_vector(pm_addr_s);
225
 
226
 
227
  -----------------------------------------------------------------------------
228
  -- Data memory controller
229
  -----------------------------------------------------------------------------
230
  dmem_ctrl_b : t400_dmem_ctrl
231
    generic map (
232
      opt_type_g => opt_type_g
233
    )
234
    port map (
235
      ck_i       => ck_i,
236
      ck_en_i    => ck_en_s,
237
      por_i      => por_s,
238
      res_i      => res_s,
239
      dmem_op_i  => dmem_op_s,
240
      b_op_i     => b_op_s,
241
      dec_data_i => dec_data_s,
242
      a_i        => a_s,
243
      q_high_i   => q_s(7 downto 4),
244
      b_o        => b_s,
245
      dm_addr_o  => dm_addr_o,
246
      dm_data_i  => dm_data_i,
247
      dm_data_o  => dm_data_o,
248
      dm_we_o    => dm_we_o
249
    );
250
 
251
 
252
  -----------------------------------------------------------------------------
253
  -- Decoder
254
  -----------------------------------------------------------------------------
255
  decoder_b : t400_decoder
256
    generic map (
257
      opt_type_g => opt_type_g
258
    )
259
    port map (
260
      ck_i       => ck_i,
261
      ck_en_i    => ck_en_s,
262
      por_i      => por_s,
263
      res_i      => res_s,
264
      out_en_i   => out_en_s,
265
      in_en_i    => in_en_s,
266
      icyc_en_i  => icyc_en_s,
267
      pc_op_o    => pc_op_s,
268
      stack_op_o => stack_op_s,
269
      dmem_op_o  => dmem_op_s,
270
      b_op_o     => b_op_s,
271
      skip_op_o  => skip_op_s,
272
      alu_op_o   => alu_op_s,
273
      io_l_op_o  => io_l_op_s,
274
      io_d_op_o  => io_d_op_s,
275
      io_g_op_o  => io_g_op_s,
276 49 arniml
      io_in_op_o => io_in_op_s,
277 2 arniml
      sio_op_o   => sio_op_s,
278
      dec_data_o => dec_data_s,
279
      en_o       => en_s,
280
      skip_i     => skip_s,
281
      skip_lbi_i => skip_lbi_s,
282 101 arniml
      is_lbi_o   => is_lbi_s,
283 68 arniml
      int_i      => int_s,
284 2 arniml
      pm_addr_i  => pm_addr_s,
285
      pm_data_i  => pm_data_i
286
    );
287
 
288
 
289
  -----------------------------------------------------------------------------
290
  -- Skip logic
291
  -----------------------------------------------------------------------------
292
  skip_b : t400_skip
293 68 arniml
    generic map (
294
      opt_type_g => opt_type_g
295
    )
296 2 arniml
    port map (
297
      ck_i       => ck_i,
298
      ck_en_i    => ck_en_s,
299
      por_i      => por_s,
300
      res_i      => res_s,
301
      op_i       => skip_op_s,
302
      dec_data_i => dec_data_s,
303
      carry_i    => carry_s,
304
      c_i        => c_s,
305
      bd_i       => b_s(bd_range_t),
306
      is_lbi_i   => is_lbi_s,
307 101 arniml
      skip_o     => skip_s,
308
      skip_lbi_o => skip_lbi_s,
309 2 arniml
      a_i        => a_s,
310
      m_i        => dm_data_i,
311 53 arniml
      g_i        => io_g_s,
312 101 arniml
      tim_c_i    => tim_c_s
313 2 arniml
    );
314
 
315
 
316
  -----------------------------------------------------------------------------
317
  -- ALU
318
  -----------------------------------------------------------------------------
319
  alu_b : t400_alu
320 43 arniml
    generic map (
321
      opt_cko_g => opt_cko_g
322
    )
323 2 arniml
    port map (
324
      ck_i       => ck_i,
325
      ck_en_i    => ck_en_s,
326
      por_i      => por_s,
327
      res_i      => res_s,
328 43 arniml
      cko_i      => cko_i,
329 2 arniml
      op_i       => alu_op_s,
330
      m_i        => dm_data_i,
331
      dec_data_i => dec_data_s,
332
      q_low_i    => q_s(3 downto 0),
333
      b_i        => b_s,
334 53 arniml
      g_i        => io_g_s,
335 2 arniml
      in_i       => in_s,
336
      sio_i      => sio_s,
337
      a_o        => a_s,
338
      carry_o    => carry_s,
339
      c_o        => c_s
340
    );
341
 
342
 
343
  -----------------------------------------------------------------------------
344
  -- Stack module
345
  -----------------------------------------------------------------------------
346
  stack_b : t400_stack
347
    generic map (
348
      opt_type_g => opt_type_g
349
    )
350
    port map (
351
      ck_i    => ck_i,
352
      ck_en_i => ck_en_s,
353
      por_i   => por_s,
354
      op_i    => stack_op_s,
355
      pc_i    => pc_to_stack_s,
356
      pc_o    => pc_from_stack_s
357
    );
358
 
359
 
360
  -----------------------------------------------------------------------------
361
  -- IO L module
362
  -----------------------------------------------------------------------------
363 115 arniml
  cs_n_s <= io_in_i(2);
364
  rd_n_s <= io_in_i(1);
365
  wr_n_s <= io_in_i(3);
366
  --
367 2 arniml
  io_l_b : t400_io_l
368
    generic map (
369
      opt_out_type_7_g => opt_l_out_type_7_g,
370
      opt_out_type_6_g => opt_l_out_type_6_g,
371
      opt_out_type_5_g => opt_l_out_type_5_g,
372
      opt_out_type_4_g => opt_l_out_type_4_g,
373
      opt_out_type_3_g => opt_l_out_type_3_g,
374
      opt_out_type_2_g => opt_l_out_type_2_g,
375
      opt_out_type_1_g => opt_l_out_type_1_g,
376
      opt_out_type_0_g => opt_l_out_type_0_g,
377
      opt_microbus_g   => opt_microbus_g
378
    )
379
    port map (
380
      ck_i      => ck_i,
381
      ck_en_i   => ck_en_s,
382
      por_i     => por_s,
383 101 arniml
      in_en_i   => in_en_s,
384 2 arniml
      op_i      => io_l_op_s,
385
      en2_i     => en_s(2),
386
      m_i       => dm_data_i,
387
      a_i       => a_s,
388
      pm_data_i => pm_data_i,
389
      q_o       => q_s,
390 115 arniml
      cs_n_i    => cs_n_s,
391
      rd_n_i    => rd_n_s,
392
      wr_n_i    => wr_n_s,
393 2 arniml
      io_l_i    => io_l_i,
394
      io_l_o    => io_l_o,
395
      io_l_en_o => io_l_en_o
396
    );
397
 
398
 
399
  -----------------------------------------------------------------------------
400
  -- IO D module
401
  -----------------------------------------------------------------------------
402
  io_d_b : t400_io_d
403
    generic map (
404
      opt_out_type_3_g => opt_d_out_type_3_g,
405
      opt_out_type_2_g => opt_d_out_type_2_g,
406
      opt_out_type_1_g => opt_d_out_type_1_g,
407
      opt_out_type_0_g => opt_d_out_type_0_g
408
    )
409
    port map (
410
      ck_i      => ck_i,
411
      ck_en_i   => ck_en_s,
412
      por_i     => por_s,
413
      res_i     => res_s,
414
      op_i      => io_d_op_s,
415
      bd_i      => b_s(bd_range_t),
416
      io_d_o    => io_d_o,
417
      io_d_en_o => io_d_en_o
418
    );
419
 
420
 
421
  -----------------------------------------------------------------------------
422 49 arniml
  -- IO G module
423 2 arniml
  -----------------------------------------------------------------------------
424
  io_g_b : t400_io_g
425
    generic map (
426
      opt_out_type_3_g => opt_g_out_type_3_g,
427
      opt_out_type_2_g => opt_g_out_type_2_g,
428
      opt_out_type_1_g => opt_g_out_type_1_g,
429 115 arniml
      opt_out_type_0_g => opt_g_out_type_0_g,
430
      opt_microbus_g   => opt_microbus_g
431 2 arniml
    )
432
    port map (
433
      ck_i       => ck_i,
434
      ck_en_i    => ck_en_s,
435
      por_i      => por_s,
436
      res_i      => res_s,
437 115 arniml
      cs_n_i     => cs_n_s,
438
      wr_n_i     => wr_n_s,
439 2 arniml
      op_i       => io_g_op_s,
440
      m_i        => dm_data_i,
441
      dec_data_i => dec_data_s,
442
      io_g_o     => io_g_o,
443
      io_g_en_o  => io_g_en_o
444
    );
445
 
446
 
447
  -----------------------------------------------------------------------------
448 49 arniml
  -- IO IN module
449
  -----------------------------------------------------------------------------
450
  use_in: if opt_type_g = t400_opt_type_420_c generate
451
    io_in_b : t400_io_in
452
      port map (
453 68 arniml
        ck_i      => ck_i,
454
        ck_en_i   => ck_en_s,
455
        por_i     => por_s,
456
        icyc_en_i => icyc_en_s,
457
        in_en_i   => in_en_s,
458
        op_i      => io_in_op_s,
459
        en1_i     => en_s(1),
460
        io_in_i   => io_in_i,
461
        in_o      => in_s,
462
        int_o     => int_s
463 49 arniml
      );
464
  end generate;
465
 
466
  no_in: if opt_type_g /= t400_opt_type_420_c generate
467 68 arniml
    in_s  <= (others => '0');
468
    int_s <= false;
469 49 arniml
  end generate;
470
 
471
 
472
  -----------------------------------------------------------------------------
473 2 arniml
  -- SIO module
474
  -----------------------------------------------------------------------------
475
  sio_b : t400_sio
476
    generic map (
477
      opt_so_output_type_g => opt_so_output_type_g,
478
      opt_sk_output_type_g => opt_sk_output_type_g
479
    )
480
    port map (
481
      ck_i       => ck_i,
482
      ck_en_i    => ck_en_s,
483
      por_i      => por_s,
484
      res_i      => res_s,
485
      phi1_i     => phi1_s,
486
      out_en_i   => out_en_s,
487
      in_en_i    => in_en_s,
488
      op_i       => sio_op_s,
489
      en0_i      => en_s(0),
490
      en3_i      => en_s(3),
491
      a_i        => a_s,
492
      c_i        => c_s,
493
      sio_o      => sio_s,
494
      si_i       => si_i,
495
      so_o       => so_o,
496
      so_en_o    => so_en_o,
497
      sk_o       => sk_o,
498
      sk_en_o    => sk_en_o
499
    );
500
 
501 37 arniml
 
502
  -----------------------------------------------------------------------------
503
  -- Timer module
504
  -----------------------------------------------------------------------------
505 130 arniml
  use_tim: if opt_type_g = t400_opt_type_420_c or
506
              opt_type_g = t400_opt_type_421_c generate
507 37 arniml
    timer_b : t400_timer
508
      port map (
509
        ck_i      => ck_i,
510
        ck_en_i   => ck_en_s,
511
        por_i     => por_s,
512
        icyc_en_i => icyc_en_s,
513
        op_i      => skip_op_s,
514
        c_o       => tim_c_s
515
      );
516
  end generate;
517
 
518 130 arniml
  notim: if opt_type_g /= t400_opt_type_420_c and
519
            opt_type_g /= t400_opt_type_421_c generate
520 37 arniml
    tim_c_s <= false;
521
  end generate;
522
 
523 2 arniml
end struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.