OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_core_comp_pack-p.vhd] - Blame information for rev 179

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arniml
-------------------------------------------------------------------------------
2
--
3 179 arniml
-- $Id: t400_core_comp_pack-p.vhd 179 2009-04-01 19:48:38Z arniml $
4 2 arniml
--
5
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
use work.t400_opt_pack.all;
15
 
16
package t400_core_comp_pack is
17
 
18
  component t400_core
19
    generic (
20
      opt_type_g           : integer := t400_opt_type_420_c;
21
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
22
      opt_cko_g            : integer := t400_opt_cko_crystal_c;
23
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
24
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
25
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
26
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
27
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
28
      opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
29
      opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
30
      opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
31
      opt_microbus_g       : integer := t400_opt_no_microbus_c;
32
      opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
33
      opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
34
      opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
35
      opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
36
      opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
37
      opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
38
      opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
39
      opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
40
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
41
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
42
    );
43
    port (
44
      ck_i      : in  std_logic;
45
      ck_en_i   : in  std_logic;
46
      por_n_i   : in  std_logic;
47
      reset_n_i : in  std_logic;
48
      cko_i     : in  std_logic;
49
      pm_addr_o : out std_logic_vector(9 downto 0);
50
      pm_data_i : in  std_logic_vector(7 downto 0);
51
      dm_addr_o : out std_logic_vector(5 downto 0);
52
      dm_we_o   : out std_logic;
53
      dm_data_o : out std_logic_vector(3 downto 0);
54
      dm_data_i : in  std_logic_vector(3 downto 0);
55
      io_l_i    : in  std_logic_vector(7 downto 0);
56
      io_l_o    : out std_logic_vector(7 downto 0);
57
      io_l_en_o : out std_logic_vector(7 downto 0);
58
      io_d_o    : out std_logic_vector(3 downto 0);
59
      io_d_en_o : out std_logic_vector(3 downto 0);
60
      io_g_i    : in  std_logic_vector(3 downto 0);
61
      io_g_o    : out std_logic_vector(3 downto 0);
62
      io_g_en_o : out std_logic_vector(3 downto 0);
63
      io_in_i   : in  std_logic_vector(3 downto 0);
64
      si_i      : in  std_logic;
65
      so_o      : out std_logic;
66
      so_en_o   : out std_logic;
67
      sk_o      : out std_logic;
68
      sk_en_o   : out std_logic
69
    );
70
  end component;
71
 
72
end t400_core_comp_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.