OpenCores
URL https://opencores.org/ocsvn/tcp_ip_core_w_dhcp/tcp_ip_core_w_dhcp/trunk

Subversion Repositories tcp_ip_core_w_dhcp

[/] [tcp_ip_core_w_dhcp/] [trunk/] [CLK_Mod.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 craighaywo
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    10:16:23 10/19/2014 
6
-- Design Name: 
7
-- Module Name:    clk_mod - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
 
23
-- Uncomment the following library declaration if using
24
-- arithmetic functions with Signed or Unsigned values
25
--use IEEE.NUMERIC_STD.ALL;
26
 
27
library UNISIM;
28
use UNISIM.VComponents.all;
29
 
30
entity clk_mod is
31
    Port ( CLK_100MHz_IN        : in  STD_LOGIC;
32
                          CLK_100Mhz_OUT        : out STD_LOGIC);
33
end clk_mod;
34
 
35
architecture Behavioral of clk_mod is
36
 
37
signal clk_1x, clk_1x_bufg                              : std_logic:='0';
38
signal clk0_2xout_tmp, clk0_2xout_bufg : std_logic:='0';
39
signal clk0_1xout_tmp, clk0_1xout_bufg : std_logic:='0';
40
 
41
begin
42
 
43
        CLK_100Mhz_OUT <= clk0_1xout_bufg;
44
 
45
        U01_BUFG : BUFG
46
    port map (I => clk0_1xout_tmp, O => clk0_1xout_bufg);
47
        U0_BUFG : BUFG
48
    port map (I => clk0_2xout_tmp, O => clk0_2xout_bufg);
49
 
50
        DCM_SP_inst : DCM_SP
51
   generic map (
52
      CLKDV_DIVIDE => 4.0,                   -- CLKDV divide value (1.5,2,2.5,3,3.5,4,4.5,5,5.5,6,6.5,7,7.5,8,9,10,11,12,13,14,15,16).
53
      CLKFX_DIVIDE => 2,                     -- Divide value on CLKFX outputs - D - (1-32)
54
      CLKFX_MULTIPLY => 2,                   -- Multiply value on CLKFX outputs - M - (2-32)
55
      CLKIN_DIVIDE_BY_2 => FALSE,            -- CLKIN divide by two (TRUE/FALSE)
56
      CLKIN_PERIOD => 10.0,                  -- Input clock period specified in nS
57
      CLKOUT_PHASE_SHIFT => "NONE",          -- Output phase shift (NONE, FIXED, VARIABLE)
58
      CLK_FEEDBACK => "2X",                  -- Feedback source (NONE, 1X, 2X)
59
      DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SYSTEM_SYNCHRNOUS or SOURCE_SYNCHRONOUS
60
      DFS_FREQUENCY_MODE => "LOW",           -- Unsupported - Do not change value
61
      DLL_FREQUENCY_MODE => "LOW",           -- Unsupported - Do not change value
62
      DSS_MODE => "NONE",                    -- Unsupported - Do not change value
63
      DUTY_CYCLE_CORRECTION => TRUE,         -- Unsupported - Do not change value
64
      FACTORY_JF => X"c080",                 -- Unsupported - Do not change value
65
      PHASE_SHIFT => 0,                      -- Amount of fixed phase shift (-255 to 255)
66
      STARTUP_WAIT => FALSE                  -- Delay configock frequency clock output
67
                )
68
   port map (
69
      CLK2X180 => open,                                 -- 1-bit output: 2X clock frequency, 180 degree clock output
70
      CLK90     => open,                -- 1-bit output: 90 degree clock output
71
      CLKDV     => open,     -- 1-bit output: Divided clock output
72
      CLKFX     => clk0_1xout_tmp,   -- 1-bit output: Digital Frequency Synthesizer output (DFS)
73
      CLKFX180 => open,                                 -- 1-bit output: 180 degree CLKFX output
74
      LOCKED    => open,                        -- 1-bit output: DCM_SP Lock Output
75
      PSDONE    => open,                        -- 1-bit output: Phase shift done output
76
      STATUS    => open,                        -- 8-bit output: DCM_SP status output
77
      CLKFB     => clk0_2xout_bufg,  -- 1-bit input: Cl DONE until DCM_SP LOCKED (TRUE/FALSE)
78
      CLK0              => open,        -- 1-bit output: 0 degree clock output
79
      CLK180    => open,                        -- 1-bit output: 180 degree clock output
80
      CLK270    => open,                        -- 1-bit output: 270 degree clock output
81
      CLK2X     => clk0_2xout_tmp,   -- 1-bit output: 2X clock feedback input
82
      CLKIN     => CLK_100MHz_IN,     -- 1-bit input: Clock input
83
      DSSEN     => '0',                          -- 1-bit input: Unsupported, specify to GND.
84
      PSCLK     => '0',                          -- 1-bit input: Phase shift clock input
85
      PSEN              => '0',                  -- 1-bit input: Phase shift enable
86
      PSINCDEC => '0',                                   -- 1-bit input: Phase shift increment/decrement input
87
      RST               => '0'                   -- 1-bit input: Active high reset input
88
   );
89
 
90
end Behavioral;
91
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.