OpenCores
URL https://opencores.org/ocsvn/tcp_ip_core_w_dhcp/tcp_ip_core_w_dhcp/trunk

Subversion Repositories tcp_ip_core_w_dhcp

[/] [tcp_ip_core_w_dhcp/] [trunk/] [ipcore_dir/] [TCP_FIFO.asy] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 craighaywo
Version 4
2
SymbolType BLOCK
3
TEXT 32 32 LEFT 4 TCP_FIFO
4
RECTANGLE Normal 32 32 800 4064
5
LINE Normal 0 80 32 80
6
PIN 0 80 LEFT 36
7
PINATTR PinName clk
8
PINATTR Polarity IN
9
LINE Wide 0 240 32 240
10
PIN 0 240 LEFT 36
11
PINATTR PinName din[7:0]
12
PINATTR Polarity IN
13
LINE Normal 0 272 32 272
14
PIN 0 272 LEFT 36
15
PINATTR PinName wr_en
16
PINATTR Polarity IN
17
LINE Normal 0 464 32 464
18
PIN 0 464 LEFT 36
19
PINATTR PinName full
20
PINATTR Polarity OUT
21
LINE Normal 0 496 32 496
22
PIN 0 496 LEFT 36
23
PINATTR PinName almost_full
24
PINATTR Polarity OUT
25
LINE Wide 832 272 800 272
26
PIN 832 272 RIGHT 36
27
PINATTR PinName dout[7:0]
28
PINATTR Polarity OUT
29
LINE Normal 832 304 800 304
30
PIN 832 304 RIGHT 36
31
PINATTR PinName rd_en
32
PINATTR Polarity IN
33
LINE Normal 832 496 800 496
34
PIN 832 496 RIGHT 36
35
PINATTR PinName empty
36
PINATTR Polarity OUT
37
LINE Wide 832 688 800 688
38
PIN 832 688 RIGHT 36
39
PINATTR PinName data_count[11:0]
40
PINATTR Polarity OUT
41
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.