OpenCores
URL https://opencores.org/ocsvn/tg68kc/tg68kc/trunk

Subversion Repositories tg68kc

[/] [tg68kc/] [trunk/] [TG68K_ALU.vhd] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobiflex
------------------------------------------------------------------------------
2
------------------------------------------------------------------------------
3
--                                                                          --
4 4 tobiflex
-- Copyright (c) 2009-2019 Tobias Gubener                                   -- 
5
-- Patches by MikeJ, Till Harbaum, Rok Krajnk, ...                          --
6 2 tobiflex
-- Subdesign fAMpIGA by TobiFlex                                            --
7
--                                                                          --
8
-- This source file is free software: you can redistribute it and/or modify --
9
-- it under the terms of the GNU Lesser General Public License as published --
10
-- by the Free Software Foundation, either version 3 of the License, or     --
11
-- (at your option) any later version.                                      --
12
--                                                                          --
13
-- This source file is distributed in the hope that it will be useful,      --
14
-- but WITHOUT ANY WARRANTY; without even the implied warranty of           --
15
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            --
16
-- GNU General Public License for more details.                             --
17
--                                                                          --
18
-- You should have received a copy of the GNU General Public License        --
19
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.    --
20
--                                                                          --
21
------------------------------------------------------------------------------
22
------------------------------------------------------------------------------
23
 
24
library ieee;
25
use ieee.std_logic_1164.all;
26
use ieee.std_logic_unsigned.all;
27
use IEEE.numeric_std.all;
28
use work.TG68K_Pack.all;
29
 
30
entity TG68K_ALU is
31
generic(
32 4 tobiflex
                MUL_Mode : integer;                     --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),      3=>no MUL,  
33
                MUL_Hardware : integer;         --0=>no,                1=>yes,  
34
                DIV_Mode : integer;                     --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),      3=>no DIV,  
35
                BarrelShifter :integer          --0=>no,                1=>yes,         2=>switchable with CPU(1)  
36 2 tobiflex
                );
37 6 tobiflex
        port(clk                                                : in std_logic;
38
                Reset                                           : in std_logic;
39
                clkena_lw                               : in std_logic:='1';
40
                execOPC                                 : in bit;
41
                decodeOPC                               : in bit;
42
                exe_condition                   : in std_logic;
43
                exec_tas                                        : in std_logic;
44
                long_start                              : in bit;
45
                non_aligned                             : in std_logic;
46
                movem_presub                    : in bit;
47
                set_stop                                        : in bit;
48
                Z_error                                         : in bit;
49
                rot_bits                                        : in std_logic_vector(1 downto 0);
50
                exec                                            : in bit_vector(lastOpcBit downto 0);
51
                OP1out                                  : in std_logic_vector(31 downto 0);
52
                OP2out                                  : in std_logic_vector(31 downto 0);
53
                reg_QA                                  : in std_logic_vector(31 downto 0);
54
                reg_QB                                  : in std_logic_vector(31 downto 0);
55
                opcode                                  : in std_logic_vector(15 downto 0);
56
                exe_opcode                              : in std_logic_vector(15 downto 0);
57
                exe_datatype                    : in std_logic_vector(1 downto 0);
58
                sndOPC                                  : in std_logic_vector(15 downto 0);
59
                last_data_read                  : in std_logic_vector(15 downto 0);
60
                data_read                               : in std_logic_vector(15 downto 0);
61
                FlagsSR                                 : in std_logic_vector(7 downto 0);
62
                micro_state                             : in micro_states;
63
                bf_ext_in                               : in std_logic_vector(7 downto 0);
64
                bf_ext_out                              : out std_logic_vector(7 downto 0);
65
                bf_shift                                        : in std_logic_vector(5 downto 0);
66
                bf_width                                        : in std_logic_vector(5 downto 0);
67
                bf_ffo_offset                   : in std_logic_vector(31 downto 0);
68
                bf_loffset                              : in std_logic_vector(4 downto 0);
69 5 tobiflex
 
70 6 tobiflex
                set_V_Flag                              : buffer bit;
71
                Flags                                           : buffer std_logic_vector(7 downto 0);
72
                c_out                                           : buffer std_logic_vector(2 downto 0);
73
                addsub_q                                        : buffer std_logic_vector(31 downto 0);
74
                ALUout                                  : out std_logic_vector(31 downto 0)
75 5 tobiflex
        );
76 2 tobiflex
end TG68K_ALU;
77
 
78
architecture logic of TG68K_ALU is
79
-----------------------------------------------------------------------------
80
-----------------------------------------------------------------------------
81
-- ALU and more
82
-----------------------------------------------------------------------------
83
-----------------------------------------------------------------------------
84 6 tobiflex
        signal OP1in                            : std_logic_vector(31 downto 0);
85
        signal addsub_a                 : std_logic_vector(31 downto 0);
86
        signal addsub_b                 : std_logic_vector(31 downto 0);
87
        signal notaddsub_b              : std_logic_vector(33 downto 0);
88
        signal add_result                       : std_logic_vector(33 downto 0);
89
        signal addsub_ofl                       : std_logic_vector(2 downto 0);
90
        signal opaddsub                 : bit;
91
        signal c_in                                     : std_logic_vector(3 downto 0);
92
        signal flag_z                           : std_logic_vector(2 downto 0);
93
        signal set_Flags                        : std_logic_vector(3 downto 0);  --NZVC
94
        signal CCRin                            : std_logic_vector(7 downto 0);
95 2 tobiflex
 
96
--BCD
97 6 tobiflex
        signal bcd_pur                          : std_logic_vector(9 downto 0);
98
        signal bcd_kor                          : std_logic_vector(8 downto 0);
99
        signal halve_carry              : std_logic;
100
        signal Vflag_a                          : std_logic;
101
        signal bcd_a_carry              : std_logic;
102
        signal bcd_a                            : std_logic_vector(8 downto 0);
103
        signal result_mulu              : std_logic_vector(127 downto 0);
104
        signal result_div                       : std_logic_vector(63 downto 0);
105
        signal set_mV_Flag              : std_logic;
106
        signal V_Flag                           : bit;
107
 
108
        signal rot_rot                          : std_logic;
109
        signal rot_lsb                          : std_logic;
110
        signal rot_msb                          : std_logic;
111
        signal rot_X                            : std_logic;
112
        signal rot_C                            : std_logic;
113
        signal rot_out                          : std_logic_vector(31 downto 0);
114
        signal asl_VFlag                        : std_logic;
115
        signal bit_bits                 : std_logic_vector(1 downto 0);
116
        signal bit_number                       : std_logic_vector(4 downto 0);
117
        signal bits_out                 : std_logic_vector(31 downto 0);
118
        signal one_bit_in                       : std_logic;
119
        signal bchg                                     : std_logic;
120
        signal bset                                     : std_logic;
121
 
122
        signal mulu_sign                        : std_logic;
123
        signal mulu_signext             : std_logic_vector(16 downto 0);
124
        signal muls_msb                 : std_logic;
125
        signal mulu_reg                 : std_logic_vector(63 downto 0);
126
        signal FAsign                           : std_logic;
127
        signal faktorA                          : std_logic_vector(31 downto 0);
128
        signal faktorB                          : std_logic_vector(31 downto 0);
129
 
130
        signal div_reg                          : std_logic_vector(63 downto 0);
131
        signal div_quot                 : std_logic_vector(63 downto 0);
132
        signal div_ovl                          : std_logic;
133
        signal div_neg                          : std_logic;
134
        signal div_bit                          : std_logic;
135
        signal div_sub                          : std_logic_vector(32 downto 0);
136
        signal div_over                 : std_logic_vector(32 downto 0);
137
        signal nozero                           : std_logic;
138
        signal div_qsign                        : std_logic;
139
        signal divisor                          : std_logic_vector(63 downto 0);
140
        signal divs                                     : std_logic;
141
        signal signedOP                 : std_logic;
142
        signal OP1_sign                 : std_logic;
143
        signal OP2_sign                 : std_logic;
144
        signal OP2outext                        : std_logic_vector(15 downto 0);
145 2 tobiflex
 
146 6 tobiflex
        signal in_offset                        : std_logic_vector(5 downto 0);
147
        signal datareg                          : std_logic_vector(31 downto 0);
148
        signal insert                           : std_logic_vector(31 downto 0);
149
        signal bf_datareg                       : std_logic_vector(31 downto 0);
150
        signal result                           : std_logic_vector(39 downto 0);
151
        signal result_tmp                       : std_logic_vector(39 downto 0);
152
        signal unshifted_bitmask: std_logic_vector(31 downto 0);
153
        signal bf_set1                          : std_logic_vector(39 downto 0);
154
        signal inmux0                           : std_logic_vector(39 downto 0);
155
        signal inmux1                           : std_logic_vector(39 downto 0);
156
        signal inmux2                           : std_logic_vector(39 downto 0);
157
        signal inmux3                           : std_logic_vector(31 downto 0);
158
        signal shifted_bitmask  : std_logic_vector(39 downto 0);
159
        signal bitmaskmux0              : std_logic_vector(37 downto 0);
160
        signal bitmaskmux1              : std_logic_vector(35 downto 0);
161
        signal bitmaskmux2              : std_logic_vector(31 downto 0);
162
        signal bitmaskmux3              : std_logic_vector(31 downto 0);
163
        signal bf_set2                          : std_logic_vector(31 downto 0);
164
        signal shift                            : std_logic_vector(39 downto 0);
165
        signal bf_firstbit              : std_logic_vector(5 downto 0);
166
        signal mux                                      : std_logic_vector(3 downto 0);
167
        signal bitnr                            : std_logic_vector(4 downto 0);
168
        signal mask                                     : std_logic_vector(31 downto 0);
169
        signal mask_not_zero            : std_logic;
170
        signal bf_bset                          : std_logic;
171
        signal bf_NFlag                 : std_logic;
172
        signal bf_bchg                          : std_logic;
173
        signal bf_ins                           : std_logic;
174
        signal bf_exts                          : std_logic;
175
        signal bf_fffo                          : std_logic;
176
        signal bf_d32                           : std_logic;
177
        signal bf_s32                           : std_logic;
178
        signal index                            : std_logic_vector(4 downto 0);
179
--      signal i                                                : integer range 0 to 31;
180
--      signal i                                                : integer range 0 to 31;
181
--      signal i                                                : std_logic_vector(5 downto 0);
182 2 tobiflex
 
183 7 tobiflex
        signal hot_msb                          : std_logic_vector(33 downto 0);
184 6 tobiflex
        signal vector                           : std_logic_vector(32 downto 0);
185
        signal result_bs                        : std_logic_vector(65 downto 0);
186
        signal bit_nr                           : std_logic_vector(5 downto 0);
187
        signal bit_msb                          : std_logic_vector(5 downto 0);
188
        signal bs_shift                 : std_logic_vector(5 downto 0);
189
        signal bs_shift_mod             : std_logic_vector(5 downto 0);
190
        signal asl_over                 : std_logic_vector(32 downto 0);
191
        signal asl_over_xor             : std_logic_vector(32 downto 0);
192
        signal asr_sign                 : std_logic_vector(32 downto 0);
193
        signal msb                                      : std_logic;
194
        signal ring                                     : std_logic_vector(5 downto 0);
195
        signal ALU                                      : std_logic_vector(31 downto 0);
196
        signal BSout                            : std_logic_vector(31 downto 0);
197
        signal bs_V                                     : std_logic;
198
        signal bs_C                                     : std_logic;
199
        signal bs_X                                     : std_logic;
200 2 tobiflex
 
201 6 tobiflex
 
202 2 tobiflex
BEGIN
203
-----------------------------------------------------------------------------
204
-- set OP1in
205
-----------------------------------------------------------------------------
206
PROCESS (OP2out, reg_QB, opcode, OP1out, OP1in, exe_datatype, addsub_q, execOPC, exec,
207 6 tobiflex
                        bcd_a, result_mulu, result_div, exe_condition, bf_shift, bf_ffo_offset, mulu_reg, BSout,
208
                        Flags, FlagsSR, bits_out, exec_tas, rot_out, exe_opcode, result, bf_fffo, bf_firstbit, bf_datareg)
209 2 tobiflex
        BEGIN
210
                ALUout <= OP1in;
211
                ALUout(7) <= OP1in(7) OR exec_tas;
212
                IF exec(opcBFwb)='1' THEN
213
                        ALUout <= result(31 downto 0);
214
                        IF bf_fffo='1' THEN
215
                                ALUout <= bf_ffo_offset - bf_firstbit;
216
                        END IF;
217
                END IF;
218
 
219
                OP1in <= addsub_q;
220
                IF exec(opcABCD)='1' OR exec(opcSBCD)='1' THEN
221
                        OP1in(7 downto 0) <= bcd_a(7 downto 0);
222
                ELSIF exec(opcMULU)='1' AND MUL_Mode/=3 THEN
223
                        IF MUL_Hardware=0 THEN
224
                                IF exec(write_lowlong)='1' AND (MUL_Mode=1 OR MUL_Mode=2) THEN
225
                                        OP1in <= result_mulu(31 downto 0);
226
                                ELSE
227
                                        OP1in <= result_mulu(63 downto 32);
228
                                END IF;
229
                        ELSE
230
                                IF exec(write_lowlong)='1' THEN --AND (MUL_Mode=1 OR MUL_Mode=2) THEN
231
                                        OP1in <= result_mulu(31 downto 0);
232
                                ELSE
233
--                                      OP1in <= result_mulu(63 downto 32);
234
                                        OP1in <= mulu_reg(31 downto 0);
235
                                END IF;
236
                        END IF;
237
                ELSIF exec(opcDIVU)='1' AND DIV_Mode/=3 THEN
238
                        IF exe_opcode(15)='1' OR DIV_Mode=0 THEN
239
--                      IF exe_opcode(15)='1' THEN
240
                                OP1in <= result_div(47 downto 32)&result_div(15 downto 0);
241
                        ELSE            --64bit
242
                                IF exec(write_reminder)='1' THEN
243
                                        OP1in <= result_div(63 downto 32);
244
                                ELSE
245
                                        OP1in <= result_div(31 downto 0);
246
                                END IF;
247
                        END IF;
248
                ELSIF exec(opcOR)='1' THEN
249
                        OP1in <= OP2out OR OP1out;
250
                ELSIF exec(opcAND)='1' THEN
251
                        OP1in <= OP2out AND OP1out;
252
                ELSIF exec(opcScc)='1' THEN
253
                        OP1in(7 downto 0) <= (others=>exe_condition);
254
                ELSIF exec(opcEOR)='1' THEN
255
                        OP1in <= OP2out XOR OP1out;
256
                ELSIF exec(opcMOVE)='1' OR exec(exg)='1' THEN
257
--                      OP1in <= OP2out(31 downto 8)&(OP2out(7)OR exec_tas)&OP2out(6 downto 0);
258
                        OP1in <= OP2out;
259
                ELSIF exec(opcROT)='1' THEN
260
                        OP1in <= rot_out;
261
                ELSIF exec(exec_BS)='1' THEN
262
                        OP1in <= BSout;
263
                ELSIF exec(opcSWAP)='1' THEN
264
                        OP1in <= OP1out(15 downto 0)& OP1out(31 downto 16);
265
                ELSIF exec(opcBITS)='1' THEN
266
                        OP1in <= bits_out;
267
                ELSIF exec(opcBF)='1' THEN
268
                        OP1in <= bf_datareg;            --new bitfieldvector for bfins - for others the old bitfieldvector
269
                ELSIF exec(opcMOVESR)='1' THEN
270
                        OP1in(7 downto 0) <= Flags;
271
                        IF exe_opcode(9)='1' THEN
272
                                OP1in(15 downto 8) <= "00000000";
273
                        ELSE
274
                                OP1in(15 downto 8) <= FlagsSR;
275
                        END IF;
276
                ELSIF exec(opcPACK)='1' THEN
277
                        OP1in(7 downto 0) <= addsub_q(11 downto 8) & addsub_q(3 downto 0);
278
                END IF;
279
        END PROCESS;
280
 
281
-----------------------------------------------------------------------------
282
-- addsub
283
-----------------------------------------------------------------------------
284
PROCESS (OP1out, OP2out, execOPC, Flags, long_start, movem_presub, exe_datatype, exec, addsub_a, addsub_b, opaddsub,
285
             notaddsub_b, add_result, c_in, sndOPC, non_aligned)
286
        BEGIN
287
                addsub_a <= OP1out;
288
                IF exec(get_bfoffset)='1' THEN
289
                        IF sndOPC(11)='1' THEN
290
                                addsub_a <= OP1out(31)&OP1out(31)&OP1out(31)&OP1out(31 downto 3);
291
                        ELSE
292
                                addsub_a <= "000000000000000000000000000000"&sndOPC(10 downto 9);
293
                        END IF;
294
                END IF;
295
 
296
                IF exec(subidx)='1' THEN
297
                        opaddsub <= '1';
298
                ELSE
299
                        opaddsub <= '0';
300
                END IF;
301
 
302
                c_in(0) <='0';
303
                addsub_b <= OP2out;
304
                IF exec(opcUNPACK)='1' THEN
305
                        addsub_b(15 downto 0) <= "0000" & OP2out(7 downto 4) & "0000" & OP2out(3 downto 0);
306
                ELSIF execOPC='0' AND exec(OP2out_one)='0' AND exec(get_bfoffset)='0'THEN
307
                        IF long_start='0' AND exe_datatype="00" AND exec(use_SP)='0' THEN
308
                                addsub_b <= "00000000000000000000000000000001";
309
                        ELSIF long_start='0' AND exe_datatype="10" AND (exec(presub) OR exec(postadd) OR movem_presub)='1' THEN
310
                                IF exec(movem_action)='1' THEN
311
                                        addsub_b <= "00000000000000000000000000000110";
312
                                ELSE
313
                                        addsub_b <= "00000000000000000000000000000100";
314
                                END IF;
315
                        ELSE
316
                                addsub_b <= "00000000000000000000000000000010";
317
                        END IF;
318
                ELSE
319
                        IF (exec(use_XZFlag)='1' AND Flags(4)='1') OR exec(opcCHK)='1' THEN
320
                                c_in(0) <= '1';
321
                        END IF;
322
                        opaddsub <= exec(addsub);
323
                END IF;
324
 
325
                -- patch for un-aligned movem --mikej
326
                if (exec(movem_action) = '1') then
327
                  if (movem_presub = '0') then -- up
328
                        if (non_aligned = '1') and (long_start = '0') then -- hold
329
                          addsub_b <= (others => '0');
330
                        end if;
331
                  else
332
                        if (non_aligned = '1') and (long_start = '0') then
333
                          if (exe_datatype = "10") then
334
                                addsub_b <= "00000000000000000000000000001000";
335
                          else
336
                                addsub_b <= "00000000000000000000000000000100";
337
                          end if;
338
                        end if;
339
                  end if;
340
                end if;
341
 
342
                IF opaddsub='0' OR long_start='1' THEN           --ADD
343
                        notaddsub_b <= '0'&addsub_b&c_in(0);
344
                ELSE                                    --SUB
345
                        notaddsub_b <= NOT ('0'&addsub_b&c_in(0));
346
                END IF;
347
                add_result <= (('0'&addsub_a&notaddsub_b(0))+notaddsub_b);
348
                c_in(1) <= add_result(9) XOR addsub_a(8) XOR addsub_b(8);
349
                c_in(2) <= add_result(17) XOR addsub_a(16) XOR addsub_b(16);
350
                c_in(3) <= add_result(33);
351
                addsub_q <= add_result(32 downto 1);
352
                addsub_ofl(0) <= (c_in(1) XOR add_result(8) XOR addsub_a(7) XOR addsub_b(7));            --V Byte
353
                addsub_ofl(1) <= (c_in(2) XOR add_result(16) XOR addsub_a(15) XOR addsub_b(15));        --V Word
354
                addsub_ofl(2) <= (c_in(3) XOR add_result(32) XOR addsub_a(31) XOR addsub_b(31));        --V Long
355
                c_out <= c_in(3 downto 1);
356
        END PROCESS;
357
 
358
------------------------------------------------------------------------------
359
--ALU
360
------------------------------------------------------------------------------          
361
PROCESS (OP1out, OP2out, exec, add_result, bcd_pur, bcd_a, bcd_kor, halve_carry, c_in)
362
        BEGIN
363
--BCD_ARITH-------------------------------------------------------------------
364
--04.04.2017 by Tobiflex - BCD handling with all undefined behavior!
365
                bcd_pur <= c_in(1)&add_result(8 downto 0);
366
                bcd_kor <= "000000000";
367
                halve_carry <= OP1out(4) XOR OP2out(4) XOR bcd_pur(5);
368
                IF halve_carry='1' THEN
369
                        bcd_kor(3 downto 0) <= "0110"; --  -6
370
                END IF;
371
                IF bcd_pur(9)='1' THEN
372
                        bcd_kor(7 downto 4) <= "0110"; --  -60
373
                END IF;
374
                IF exec(opcABCD)='1' THEN
375
                        Vflag_a <= NOT bcd_pur(8) AND bcd_a(7);
376
--                      bcd_pur <= ('0'&OP1out(7 downto 0)&'1') + ('0'&OP2out(7 downto 0)&Flags(4));
377
                        bcd_a <= bcd_pur(9 downto 1) + bcd_kor;
378
                        IF (bcd_pur(4) AND (bcd_pur(3) OR bcd_pur(2)))='1' THEN
379
                                bcd_kor(3 downto 0) <= "0110"; --  +6
380
                        END IF;
381
                        IF (bcd_pur(8) AND (bcd_pur(7) OR bcd_pur(6) OR (bcd_pur(5) AND bcd_pur(4) AND (bcd_pur(3) OR bcd_pur(2)))))='1' THEN
382
                                bcd_kor(7 downto 4) <= "0110"; --  +60 
383
                        END IF;
384
                ELSE --opcSBCD  
385
                        Vflag_a <= bcd_pur(8) AND NOT bcd_a(7);
386
--                      bcd_pur <= ('0'&OP1out(7 downto 0)&'0') - ('0'&OP2out(7 downto 0)&Flags(4));
387
                        bcd_a <= bcd_pur(9 downto 1) - bcd_kor;
388
                END IF;
389 7 tobiflex
        Vflag_a <= '0'; --TG 01.11.2019 only for cputest -- but other behaiver in real 68000 Hardware ??? I must check this later
390 2 tobiflex
                bcd_a_carry <= bcd_pur(9) OR bcd_a(8);
391
        END PROCESS;
392
 
393
-----------------------------------------------------------------------------
394
-- Bits
395
-----------------------------------------------------------------------------
396
PROCESS (clk, exe_opcode, OP1out, OP2out, reg_QB, one_bit_in, bchg, bset, bit_Number, sndOPC)
397
        BEGIN
398
                IF rising_edge(clk) THEN
399
                IF  clkena_lw = '1' THEN
400
                                bchg <= '0';
401
                                bset <= '0';
402
                                CASE opcode(7 downto 6) IS
403
                                        WHEN "01" =>                                    --bchg
404
                                                bchg <= '1';
405
                                        WHEN "11" =>                                    --bset
406
                                                bset <= '1';
407
                                        WHEN OTHERS => NULL;
408
                                END CASE;
409
                        END IF;
410
                END IF;
411
 
412
                IF exe_opcode(8)='0' THEN
413
                        IF exe_opcode(5 downto 4)="00" THEN
414
                                bit_number <= sndOPC(4 downto 0);
415
                        ELSE
416
                                bit_number <= "00"&sndOPC(2 downto 0);
417
                        END IF;
418
                ELSE
419
                        IF exe_opcode(5 downto 4)="00" THEN
420
                                bit_number <= reg_QB(4 downto 0);
421
                        ELSE
422
                                bit_number <= "00"&reg_QB(2 downto 0);
423
                        END IF;
424
                END IF;
425
 
426
                one_bit_in <= OP1out(conv_integer(bit_Number));
427
                bits_out <= OP1out;
428
                bits_out(conv_integer(bit_Number)) <= (bchg AND NOT one_bit_in) OR bset ;
429
        END PROCESS;
430
 
431
-----------------------------------------------------------------------------
432
-- Bit Field
433
-----------------------------------------------------------------------------   
434
 
435
PROCESS (clk, mux, mask, bitnr, bf_ins, bf_bchg, bf_bset, bf_exts, bf_shift, inmux0, inmux1, inmux2, inmux3, bf_set2, OP1out, OP2out,
436
                        result_tmp, bf_ext_in, mask_not_zero, exec, shift, datareg, bf_NFlag, result, reg_QB, unshifted_bitmask, bf_d32, bf_s32,
437
                        shifted_bitmask, bf_loffset, bitmaskmux0, bitmaskmux1, bitmaskmux2, bitmaskmux3, bf_width)
438
        BEGIN
439
                IF rising_edge(clk) THEN
440 4 tobiflex
                        IF clkena_lw = '1' THEN
441 2 tobiflex
                                bf_bset <= '0';
442
                                bf_bchg <= '0';
443
                                bf_ins <= '0';
444
                                bf_exts <= '0';
445
                                bf_fffo <= '0';
446
                                bf_d32 <= '0';
447
                                bf_s32 <= '0';
448 5 tobiflex
--              000-bftst, 001-bfextu, 010-bfchg, 011-bfexts, 100-bfclr, 101-bfff0, 110-bfset, 111-bfins        
449
                                IF opcode(5 downto 4) ="00" THEN
450
                                          bf_s32 <= '1';
451
                                END IF;
452 2 tobiflex
                                CASE opcode(10 downto 8) IS
453 5 tobiflex
                                        WHEN "010" => bf_bchg <= '1';                                   --BFCHG
454
                                        WHEN "011" => bf_exts <= '1';                                   --BFEXTS
455
--                                      WHEN "100" => insert <= (OTHERS =>'0'); --BFCLR
456
                                        WHEN "101" => bf_fffo <= '1';                                   --BFFFO
457
                                        WHEN "110" => bf_bset <= '1';                                   --BFSET
458 2 tobiflex
                                        WHEN "111" => bf_ins <= '1';                                    --BFINS
459 5 tobiflex
                                                                          bf_s32 <= '1';
460 2 tobiflex
                                        WHEN OTHERS => NULL;
461
                                END CASE;
462
                                IF opcode(4 downto 3)="00" THEN
463
                                        bf_d32 <= '1';
464
                                END IF;
465
                                bf_ext_out <= result(39 downto 32);
466
                        END IF;
467
                END IF;
468
 
469
                IF bf_ins='1' THEN
470
                        datareg <= reg_QB;
471
                ELSE
472
                        datareg <= bf_set2;
473
                END IF;
474
 
475
 
476
-- create bitmask for operation
477
-- unshifted bitmask '0' => bit is in the Bitfieldvector
478
--                                       '1' => bit isn't in the Bitfieldvector
479
-- Example bf_with=11    => "11111111 11111111 11111000 00000000"
480
-- datareg 
481
                unshifted_bitmask <= (OTHERS => '0');
482
                FOR i in 0 to 31 LOOP
483
                        IF i>bf_width(4 downto 0) THEN
484
                                datareg(i) <= '0';
485
                                unshifted_bitmask(i) <= '1';
486
                        END IF;
487
                END LOOP;
488
 
489
                bf_NFlag <= datareg(conv_integer(bf_width));
490
                IF bf_exts='1' AND bf_NFlag='1' THEN
491
                        bf_datareg <= datareg OR unshifted_bitmask;
492
                ELSE
493
                        bf_datareg <= datareg;
494
                END IF;
495
 
496
-- shift bitmask for operation
497
                IF bf_loffset(4)='1' THEN
498
                        bitmaskmux3 <= unshifted_bitmask(15 downto 0)&unshifted_bitmask(31 downto 16);
499
                ELSE
500
                        bitmaskmux3 <= unshifted_bitmask;
501
                END IF;
502
                IF bf_loffset(3)='1' THEN
503
                        bitmaskmux2(31 downto 0) <= bitmaskmux3(23 downto 0)&bitmaskmux3(31 downto 24);
504
                ELSE
505
                        bitmaskmux2(31 downto 0) <= bitmaskmux3;
506
                END IF;
507
                IF bf_loffset(2)='1' THEN
508
                        bitmaskmux1 <= bitmaskmux2&"1111";
509
                        IF bf_d32='1' THEN
510
                                bitmaskmux1(3 downto 0) <= bitmaskmux2(31 downto 28);
511
                        END IF;
512
                ELSE
513
                        bitmaskmux1 <= "1111"&bitmaskmux2;
514
                END IF;
515
                IF bf_loffset(1)='1' THEN
516
                        bitmaskmux0 <= bitmaskmux1&"11";
517
                        IF bf_d32='1' THEN
518
                                bitmaskmux0(1 downto 0) <= bitmaskmux1(31 downto 30);
519
                        END IF;
520
                ELSE
521
                        bitmaskmux0 <= "11"&bitmaskmux1;
522
                END IF;
523
                IF bf_loffset(0)='1' THEN
524
                        shifted_bitmask <= '1'&bitmaskmux0&'1';
525
                        IF bf_d32='1' THEN
526
                                shifted_bitmask(0) <= bitmaskmux0(31);
527
                        END IF;
528
                ELSE
529
                        shifted_bitmask <= "11"&bitmaskmux0;
530
                END IF;
531
 
532
 
533
-- shift for ins 
534
                shift <= bf_ext_in&OP2out;
535
                IF bf_s32='1' THEN
536
                        shift(39 downto 32) <= OP2out(7 downto 0);
537
                END IF;
538
 
539
                IF bf_shift(0)='1' THEN
540
                        inmux0 <= shift(0)&shift(39 downto 1);
541
                ELSE
542
                        inmux0 <= shift;
543
                END IF;
544
                IF bf_shift(1)='1' THEN
545
                        inmux1 <= inmux0(1 downto 0)&inmux0(39 downto 2);
546
                ELSE
547
                        inmux1 <= inmux0;
548
                END IF;
549
                IF bf_shift(2)='1' THEN
550
                        inmux2 <= inmux1(3 downto 0)&inmux1(39 downto 4);
551
                ELSE
552
                        inmux2 <= inmux1;
553
                END IF;
554
                IF bf_shift(3)='1' THEN
555
                        inmux3 <= inmux2(7 downto 0)&inmux2(31 downto 8);
556
                ELSE
557
                        inmux3 <= inmux2(31 downto 0);
558
                END IF;
559
                IF bf_shift(4)='1' THEN
560
                        bf_set2(31 downto 0) <= inmux3(15 downto 0)&inmux3(31 downto 16);
561
                ELSE
562
                        bf_set2(31 downto 0) <= inmux3;
563
                END IF;
564
 
565
                IF bf_ins='1' THEN
566
                        result(31 downto 0) <= bf_set2;
567
                        result(39 downto 32) <= bf_set2(7 downto 0);
568
                ELSIF bf_bchg='1' THEN
569
                        result(31 downto 0) <= NOT OP2out;
570
                        result(39 downto 32) <= NOT bf_ext_in;
571
                ELSE
572
                        result <= (OTHERS => '0');
573
                END IF;
574
                IF bf_bset='1' THEN
575
                        result <= (OTHERS => '1');
576
                END IF;
577
--              
578
                IF bf_ins='1' THEN
579
                        result_tmp <= bf_ext_in&OP1out;
580
                ELSE
581
                        result_tmp <= bf_ext_in&OP2out;
582
                END IF;
583
                FOR i in 0 to 39 LOOP
584
                        IF shifted_bitmask(i)='1' THEN
585
                                result(i) <= result_tmp(i);   --restore old data
586
                        END IF;
587
                END LOOP;
588
 
589
--BFFFO 
590
                mask <= datareg;
591
                bf_firstbit <= ('0'&bitnr)+mask_not_zero;
592
                bitnr <= "11111";
593
                mask_not_zero <= '1';
594
                IF mask(31 downto 28)="0000" THEN
595
                        IF mask(27 downto 24)="0000" THEN
596
                                IF mask(23 downto 20)="0000" THEN
597
                                        IF mask(19 downto 16)="0000" THEN
598
                                                bitnr(4) <= '0';
599
                                                IF mask(15 downto 12)="0000" THEN
600
                                                        IF mask(11 downto 8)="0000" THEN
601
                                                                bitnr(3) <= '0';
602
                                                                IF mask(7 downto 4)="0000" THEN
603
                                                                        bitnr(2) <= '0';
604
                                                                        mux <= mask(3 downto 0);
605
                                                                ELSE
606
                                                                        mux <= mask(7 downto 4);
607
                                                                END IF;
608
                                                        ELSE
609
                                                                mux <= mask(11 downto 8);
610
                                                                bitnr(2) <= '0';
611
                                                        END IF;
612
                                                ELSE
613
                                                        mux <= mask(15 downto 12);
614
                                                END IF;
615
                                        ELSE
616
                                                mux <= mask(19 downto 16);
617
                                                bitnr(3) <= '0';
618
                                                bitnr(2) <= '0';
619
                                        END IF;
620
                                ELSE
621
                                        mux <= mask(23 downto 20);
622
                                        bitnr(3) <= '0';
623
                                END IF;
624
                        ELSE
625
                                mux <= mask(27 downto 24);
626
                                bitnr(2) <= '0';
627
                        END IF;
628
                ELSE
629
                        mux <= mask(31 downto 28);
630
                END IF;
631
 
632
                IF mux(3 downto 2)="00" THEN
633
                        bitnr(1) <= '0';
634
                        IF mux(1)='0' THEN
635
                                bitnr(0) <= '0';
636
                                IF mux(0)='0' THEN
637
                                        mask_not_zero <= '0';
638
                                END IF;
639
                        END IF;
640
                ELSE
641
                        IF mux(3)='0' THEN
642
                                bitnr(0) <= '0';
643
                        END IF;
644
                END  IF;
645
        END PROCESS;
646
 
647
-----------------------------------------------------------------------------
648
-- Rotation
649
-----------------------------------------------------------------------------
650
PROCESS (exe_opcode, OP1out, Flags, rot_bits, rot_msb, rot_lsb, rot_rot, exec, BSout)
651
        BEGIN
652
                CASE exe_opcode(7 downto 6) IS
653
                        WHEN "00" =>                                    --Byte
654
                                                rot_rot <= OP1out(7);
655
                        WHEN "01"|"11" =>                               --Word
656
                                                rot_rot <= OP1out(15);
657
                        WHEN "10" =>                                    --Long
658
                                                rot_rot <= OP1out(31);
659
                        WHEN OTHERS => NULL;
660
                END CASE;
661
 
662
                CASE rot_bits IS
663
                        WHEN "00" =>                                    --ASL, ASR
664
                                                rot_lsb <= '0';
665
                                                rot_msb <= rot_rot;
666
                        WHEN "01" =>                                    --LSL, LSR
667
                                                rot_lsb <= '0';
668
                                                rot_msb <= '0';
669
                        WHEN "10" =>                                    --ROXL, ROXR
670
                                                rot_lsb <= Flags(4);
671
                                                rot_msb <= Flags(4);
672
                        WHEN "11" =>                                    --ROL, ROR
673
                                                rot_lsb <= rot_rot;
674
                                                rot_msb <= OP1out(0);
675
                        WHEN OTHERS => NULL;
676
                END CASE;
677
 
678
                IF exec(rot_nop)='1' THEN
679
                        rot_out <= OP1out;
680
                        rot_X <= Flags(4);
681
                        IF rot_bits="10" THEN   --ROXL, ROXR
682
                                rot_C <= Flags(4);
683
                        ELSE
684
                                rot_C <= '0';
685
                        END IF;
686
                ELSE
687
                        IF exe_opcode(8)='1' THEN               --left
688
                                rot_out <= OP1out(30 downto 0)&rot_lsb;
689
                                rot_X <= rot_rot;
690
                                rot_C <= rot_rot;
691
                        ELSE                                            --right
692
                                rot_X <= OP1out(0);
693
                                rot_C <= OP1out(0);
694
                                rot_out <= rot_msb&OP1out(31 downto 1);
695
                                CASE exe_opcode(7 downto 6) IS
696
                                        WHEN "00" =>                                    --Byte
697
                                                rot_out(7) <= rot_msb;
698
                                        WHEN "01"|"11" =>                               --Word
699
                                                rot_out(15) <= rot_msb;
700
                                        WHEN OTHERS => NULL;
701
                                END CASE;
702
                        END IF;
703
                        IF BarrelShifter/=0 THEN
704
                           rot_out <= BSout;
705
                        END IF;
706
                END IF;
707
        END PROCESS;
708
 
709
-----------------------------------------------------------------------------
710
-- Barrel Shifter
711
-----------------------------------------------------------------------------   
712 7 tobiflex
process (OP1out, OP2out, opcode, bit_nr, bit_msb, bs_shift, bs_shift_mod, ring, result_bs, exe_opcode, vector,
713
         rot_bits, Flags, bs_C, msb, hot_msb, asl_over, asl_over_xor, ALU, asr_sign, exec)
714 2 tobiflex
        begin
715
                ring <= "100000";
716
                IF rot_bits="10" THEN --ROX L/R
717
                        CASE exe_opcode(7 downto 6) IS
718
                                WHEN "00" =>                                    --Byte
719
                                                        ring <= "001001";
720
                                WHEN "01"|"11" =>                               --Word
721
                                                        ring <= "010001";
722
                                WHEN "10" =>                                    --Long
723
                                                        ring <= "100001";
724
                                WHEN OTHERS => NULL;
725
                        END CASE;
726
                ELSE
727
                        CASE exe_opcode(7 downto 6) IS
728
                                WHEN "00" =>                                    --Byte
729
                                                        ring <= "001000";
730
                                WHEN "01"|"11" =>                               --Word
731
                                                        ring <= "010000";
732
                                WHEN "10" =>                                    --Long
733
                                                        ring <= "100000";
734
                                WHEN OTHERS => NULL;
735
                        END CASE;
736
                END IF;
737
 
738
                IF exe_opcode(7 downto 6)="11" OR exec(exec_BS)='0' THEN
739
                        bs_shift <="000001";
740
                ELSIF exe_opcode(5)='1' THEN
741
                        bs_shift <= OP2out(5 downto 0);
742
                ELSE
743
                        bs_shift(2 downto 0) <= exe_opcode(11 downto 9);
744
                        IF exe_opcode(11 downto 9)="000" THEN
745
                                bs_shift(5 downto 3) <="001";
746
                        ELSE
747
                                bs_shift(5 downto 3) <="000";
748
                        END IF;
749
                END IF;
750
 
751
-- calc V-Flag by ASL           
752 7 tobiflex
                bit_msb <= "000000";
753 2 tobiflex
                hot_msb <= (OTHERS =>'0');
754
                hot_msb(conv_integer(bit_msb)) <= '1';
755 7 tobiflex
                IF bs_shift < ring THEN
756 2 tobiflex
                        bit_msb <= ring-bs_shift;
757 6 tobiflex
                END IF;
758
                asl_over_xor <= (('0'&vector(30 downto 0)) XOR ('0'&vector(31 downto 1)))&msb;
759
                CASE exe_opcode(7 downto 6) IS
760
                        WHEN "00" =>                                    --Byte
761
                                asl_over_xor(8) <= '0';
762
                        WHEN "01"|"11" =>                               --Word
763
                                asl_over_xor(16) <= '0';
764
                        WHEN OTHERS => NULL;
765
                END CASE;
766
                asl_over <= asl_over_xor - ('0'&hot_msb(31 downto 0));
767 2 tobiflex
                bs_V <= '0';
768
                IF rot_bits="00" AND exe_opcode(8)='1' THEN --ASL
769
                        bs_V <= not asl_over(32);
770
                END IF;
771
 
772 7 tobiflex
                bs_X <= bs_C;
773 2 tobiflex
                IF exe_opcode(8)='0' THEN --right shift
774
                        bs_C <= result_bs(31);
775
                ELSE                  --left shift
776
                        CASE exe_opcode(7 downto 6) IS
777
                                WHEN "00" =>                                    --Byte
778
                                        bs_C <= result_bs(8);
779
                                WHEN "01"|"11" =>                               --Word
780
                                        bs_C <= result_bs(16);
781
                                WHEN "10" =>                                    --Long
782
                                        bs_C <= result_bs(32);
783
                                WHEN OTHERS => NULL;
784
                        END CASE;
785
                END IF;
786
 
787
                ALU <= (others=>'-');
788
                IF rot_bits="11" THEN --RO L/R
789
                        bs_X <= Flags(4);
790
                        CASE exe_opcode(7 downto 6) IS
791
                                WHEN "00" =>                                    --Byte
792
                                        ALU(7 downto 0) <= result_bs(7 downto 0) OR result_bs(15 downto 8);
793 6 tobiflex
                                        bs_C <= ALU(7);
794 2 tobiflex
                                WHEN "01"|"11" =>                               --Word
795
                                        ALU(15 downto 0) <= result_bs(15 downto 0) OR result_bs(31 downto 16);
796 6 tobiflex
                                        bs_C <= ALU(15);
797 2 tobiflex
                                WHEN "10" =>                                    --Long
798
                                        ALU <= result_bs(31 downto 0) OR result_bs(63 downto 32);
799 6 tobiflex
                                        bs_C <= ALU(31);
800 2 tobiflex
                                WHEN OTHERS => NULL;
801
                        END CASE;
802 6 tobiflex
                        IF exe_opcode(8)='1' THEN --left shift
803 2 tobiflex
                                bs_C <= ALU(0);
804
                        END IF;
805
                ELSIF rot_bits="10" THEN --ROX L/R
806
                        CASE exe_opcode(7 downto 6) IS
807
                                WHEN "00" =>                                    --Byte
808
                                        ALU(7 downto 0) <= result_bs(7 downto 0) OR result_bs(16 downto 9);
809
                                        bs_C <= result_bs(8) OR result_bs(17);
810
                                WHEN "01"|"11" =>                               --Word
811
                                        ALU(15 downto 0) <= result_bs(15 downto 0) OR result_bs(32 downto 17);
812
                                        bs_C <= result_bs(16) OR result_bs(33);
813
                                WHEN "10" =>                                    --Long
814
                                        ALU <= result_bs(31 downto 0) OR result_bs(64 downto 33);
815
                                        bs_C <= result_bs(32) OR result_bs(65);
816
                                WHEN OTHERS => NULL;
817
                        END CASE;
818
                ELSE
819
                        IF exe_opcode(8)='0' THEN --right shift
820
                                ALU <= result_bs(63 downto 32);
821
                        ELSE                  --left shift
822
                                ALU <= result_bs(31 downto 0);
823
                        END IF;
824
                END IF;
825
 
826
                IF(bs_shift = "000000") THEN
827
                        IF rot_bits="10" THEN --ROX L/R
828 6 tobiflex
                                bs_C <= Flags(4);
829 2 tobiflex
                        ELSE
830 6 tobiflex
                                bs_C <= '0';
831 2 tobiflex
                        END IF;
832
                        bs_X <= Flags(4);
833
                        bs_V <= '0';
834
                END IF;
835
 
836 7 tobiflex
-- calc shift count             
837
                bs_shift_mod <= std_logic_vector(unsigned(bs_shift) rem unsigned(ring));
838
                bit_nr <= bs_shift_mod(5 downto 0);
839
                IF exe_opcode(8)='0' THEN  --right shift
840
                        bit_nr <= ring-bs_shift_mod;
841
                END IF;
842
                IF rot_bits(1)='0' THEN --only shift
843
                        IF exe_opcode(8)='0' THEN  --right shift
844
                                bit_nr <= 32-bs_shift_mod;
845
                        END IF;
846
                        IF bs_shift = ring THEN
847
                                IF exe_opcode(8)='0' THEN  --right shift
848
                                        bit_nr <= 32-ring;
849
                                ELSE
850
                                        bit_nr <= ring;
851
                                END IF;
852
                        END IF;
853
                        IF bs_shift > ring THEN
854
                                IF exe_opcode(8)='0' THEN  --right shift
855
                                        bit_nr <= "000000";
856
                                        bs_C <= '0';
857
                                ELSE
858
                                        bit_nr <= ring+1;
859
                                END IF;
860
                        END IF;
861
                END IF;
862
 
863 2 tobiflex
-- calc ASR sign                
864
                BSout <= ALU;
865
                asr_sign <= (OTHERS =>'0');
866
                asr_sign(32 downto 1) <= asr_sign(31 downto 0) OR hot_msb(31 downto 0);
867
                IF rot_bits="00" AND exe_opcode(8)='0' AND msb='1' THEN --ASR
868
                        BSout <= ALU or asr_sign(32 downto 1);
869
                        IF bs_shift > ring THEN
870
                                bs_C <= '1';
871
                        END IF;
872
                END IF;
873
 
874
                vector(32 downto 0) <= '0'&OP1out;
875
                CASE exe_opcode(7 downto 6) IS
876
                        WHEN "00" =>                                    --Byte
877
                                msb <= OP1out(7);
878
                                vector(31 downto 8) <= X"000000";
879
                                BSout(31 downto 8) <= X"000000";
880
                                IF rot_bits="10" THEN --ROX L/R
881
                                        vector(8) <= Flags(4);
882
                                END IF;
883
                        WHEN "01"|"11" =>                               --Word
884
                                msb <= OP1out(15);
885
                                vector(31 downto 16) <= X"0000";
886
                                BSout(31 downto 16) <= X"0000";
887
                                IF rot_bits="10" THEN --ROX L/R
888
                                        vector(16) <= Flags(4);
889
                                END IF;
890
                        WHEN "10" =>                                    --Long
891
                                msb <= OP1out(31);
892
                                IF rot_bits="10" THEN --ROX L/R
893
                                        vector(32) <= Flags(4);
894
                                END IF;
895
                        WHEN OTHERS => NULL;
896
                END CASE;
897 7 tobiflex
                result_bs <= std_logic_vector(unsigned('0'&X"00000000"&vector) sll to_integer(unsigned(bit_nr(5 downto 0))));
898 2 tobiflex
 
899
  end process;
900
 
901
 
902
------------------------------------------------------------------------------
903
--CCR op
904
------------------------------------------------------------------------------          
905
PROCESS (clk, Reset, exe_opcode, exe_datatype, Flags, last_data_read, OP2out, flag_z, OP1IN, c_out, addsub_ofl,
906
             bcd_a, bcd_a_carry, Vflag_a, exec)
907
        BEGIN
908
                IF exec(andiSR)='1' THEN
909
                        CCRin <= Flags AND last_data_read(7 downto 0);
910
                ELSIF exec(eoriSR)='1' THEN
911
                        CCRin <= Flags XOR last_data_read(7 downto 0);
912
                ELSIF exec(oriSR)='1' THEN
913
                        CCRin <= Flags OR last_data_read(7 downto 0);
914
                ELSE
915
                        CCRin <= OP2out(7 downto 0);
916
                END IF;
917
 
918
------------------------------------------------------------------------------
919
--Flags
920
------------------------------------------------------------------------------          
921
                flag_z <= "000";
922
                IF exec(use_XZFlag)='1' AND flags(2)='0' THEN
923
                        flag_z <= "000";
924
                ELSIF OP1in(7 downto 0)="00000000" THEN
925
                        flag_z(0) <= '1';
926
                        IF OP1in(15 downto 8)="00000000" THEN
927
                                flag_z(1) <= '1';
928
                                IF OP1in(31 downto 16)="0000000000000000" THEN
929
                                        flag_z(2) <= '1';
930
                                END IF;
931
                        END IF;
932
                END IF;
933
 
934
--                                      --Flags NZVC
935
                IF exe_datatype="00" THEN                                               --Byte
936
                        set_flags <= OP1IN(7)&flag_z(0)&addsub_ofl(0)&c_out(0);
937
                        IF exec(opcABCD)='1' OR exec(opcSBCD)='1' THEN
938
                                set_flags(0) <= bcd_a_carry;
939
                                set_flags(1) <= Vflag_a;
940
                        END IF;
941
                ELSIF exe_datatype="10" OR exec(opcCPMAW)='1' THEN                                              --Long
942
                        set_flags <= OP1IN(31)&flag_z(2)&addsub_ofl(2)&c_out(2);
943
                ELSE                                            --Word
944
                        set_flags <= OP1IN(15)&flag_z(1)&addsub_ofl(1)&c_out(1);
945
                END IF;
946
 
947
                IF rising_edge(clk) THEN
948 6 tobiflex
                        IF Reset='1' THEN
949 4 tobiflex
                                Flags(7 downto 0) <= "00000000";
950 6 tobiflex
                        ELSIF clkena_lw = '1' THEN
951 2 tobiflex
                                IF exec(directSR)='1' OR set_stop='1' THEN
952
                                        Flags(7 downto 0) <= data_read(7 downto 0);
953
                                END IF;
954
                                IF exec(directCCR)='1' THEN
955
                                        Flags(7 downto 0) <= data_read(7 downto 0);
956
                                END IF;
957
 
958
                                IF exec(opcROT)='1' AND decodeOPC='0' THEN
959
                                        asl_VFlag <= ((set_flags(3) XOR rot_rot) OR asl_VFlag);
960
                                ELSE
961
                                        asl_VFlag <= '0';
962
                                END IF;
963
                                IF exec(to_CCR)='1' THEN
964
                                        Flags(7 downto 0) <= CCRin(7 downto 0);                   --CCR
965
                                ELSIF Z_error='1' THEN
966
                                        IF exe_opcode(8)='0' THEN
967
--                                              Flags(3 downto 0) <= reg_QA(31)&"000";
968
                                                Flags(3 downto 0) <= '0'&NOT reg_QA(31)&"00";
969
                                        ELSE
970
                                                Flags(3 downto 0) <= "0100";
971
                                        END IF;
972
                                ELSIF exec(no_Flags)='0' THEN
973
                                        IF exec(opcADD)='1' THEN
974
                                                Flags(4) <= set_flags(0);
975
                                        ELSIF exec(opcROT)='1' AND rot_bits/="11" AND exec(rot_nop)='0' THEN
976
                                                Flags(4) <= rot_X;
977
                                        ELSIF exec(exec_BS)='1' THEN
978
                                                Flags(4) <= BS_X;
979
                                        END IF;
980
 
981
                                        IF (exec(opcADD) OR exec(opcCMP))='1' THEN
982
                                                Flags(3 downto 0) <= set_flags;
983
                                        ELSIF exec(opcDIVU)='1' AND DIV_Mode/=3 THEN
984
                                                IF V_Flag='1' THEN
985
                                                        Flags(3 downto 0) <= "1010";
986
                                                ELSE
987
                                                        Flags(3 downto 0) <= OP1IN(15)&flag_z(1)&"00";
988
                                                END IF;
989
                                        ELSIF exec(write_reminder)='1' AND MUL_Mode/=3 THEN -- z-flag MULU.l
990
                                                Flags(3) <= set_flags(3);
991
                                                Flags(2) <= set_flags(2) AND Flags(2);
992
                                                Flags(1) <= '0';
993
                                                Flags(0) <= '0';
994
                                        ELSIF exec(write_lowlong)='1' AND (MUL_Mode=1 OR MUL_Mode=2) THEN  -- flag MULU.l
995
                                                Flags(3) <= set_flags(3);
996
                                                Flags(2) <= set_flags(2);
997
                                                Flags(1) <= set_mV_Flag;        --V
998
                                                Flags(0) <= '0';
999
                                        ELSIF exec(opcOR)='1' OR exec(opcAND)='1' OR exec(opcEOR)='1' OR exec(opcMOVE)='1' OR exec(opcMOVEQ)='1' OR exec(opcSWAP)='1' OR exec(opcBF)='1' OR (exec(opcMULU)='1' AND MUL_Mode/=3) THEN
1000
                                                Flags(1 downto 0) <= "00";
1001
                                                Flags(3 downto 2) <= set_flags(3 downto 2);
1002
                                                IF exec(opcBF)='1' THEN
1003
                                                        Flags(3) <= bf_NFlag;
1004
                                                END IF;
1005
                                        ELSIF exec(opcROT)='1' THEN
1006
                                                Flags(3 downto 2) <= set_flags(3 downto 2);
1007
                                                Flags(0) <= rot_C;
1008
                                                IF rot_bits="00" AND ((set_flags(3) XOR rot_rot) OR asl_VFlag)='1' THEN         --ASL/ASR
1009
                                                        Flags(1) <= '1';
1010
                                                ELSE
1011
                                                        Flags(1) <= '0';
1012
                                                END IF;
1013
                                        ELSIF exec(exec_BS)='1' THEN
1014
                                                Flags(3 downto 2) <= set_flags(3 downto 2);
1015
                                                Flags(0) <= BS_C;
1016
                                                Flags(1) <= BS_V;
1017
                                        ELSIF exec(opcBITS)='1' THEN
1018
                                                Flags(2) <= NOT one_bit_in;
1019
                                        ELSIF exec(opcCHK)='1' THEN
1020
                                                IF exe_datatype="01" THEN                                               --Word
1021
                                                        Flags(3) <= OP1out(15);
1022
                                                ELSE
1023
                                                        Flags(3) <= OP1out(31);
1024
                                                END IF;
1025
                                                IF OP1out(15 downto 0)=X"0000" AND (exe_datatype="01" OR OP1out(31 downto 16)=X"0000") THEN
1026
                                                        Flags(2) <='1';
1027
                                                ELSE
1028
                                                        Flags(2) <='0';
1029
                                                END IF;
1030
                                                Flags(1 downto 0) <= "00";
1031
                                        END IF;
1032
                                END IF;
1033
                        END IF;
1034
                        Flags(7 downto 5) <= "000";
1035
                END IF;
1036
        END PROCESS;
1037
 
1038
---------------------------------------------------------------------------------
1039
------ MULU/MULS
1040
---------------------------------------------------------------------------------       
1041
PROCESS (exe_opcode, OP2out, muls_msb, mulu_reg, FAsign, mulu_sign, reg_QA, faktorA, faktorB, result_mulu, signedOP)
1042
--PROCESS (exec, reg_QA, OP2out, faktorA, faktorB, signedOP)
1043
        BEGIN
1044
        IF MUL_Hardware=1 THEN
1045
--              IF exe_opcode(15)='1' OR MUL_Mode=0 THEN        -- 16 Bit
1046
                IF MUL_Mode=0 THEN       -- 16 Bit
1047
                        IF signedOP='1' AND reg_QA(15)='1' THEN
1048
                                faktorA <= X"FFFFFFFF";
1049
                        ELSE
1050
                                faktorA <= X"00000000";
1051
                        END IF;
1052
                        IF signedOP='1' AND OP2out(15)='1' THEN
1053
                                faktorB <= X"FFFFFFFF";
1054
                        ELSE
1055
                                faktorB <= X"00000000";
1056
                        END IF;
1057
                        result_mulu(63 downto 0) <= (faktorA(15 downto 0) & reg_QA(15 downto 0)) * (faktorB(15 downto 0) & OP2out(15 downto 0));
1058
                ELSE
1059
                        IF exe_opcode(15)='1' THEN      -- 16 Bit
1060
                                IF signedOP='1' AND reg_QA(15)='1' THEN
1061
                                        faktorA <= X"FFFFFFFF";
1062
                                ELSE
1063
                                        faktorA <= X"00000000";
1064
                                END IF;
1065
                                IF signedOP='1' AND OP2out(15)='1' THEN
1066
                                        faktorB <= X"FFFFFFFF";
1067
                                ELSE
1068
                                        faktorB <= X"00000000";
1069
                                END IF;
1070
                        ELSE
1071
                                faktorA(15 downto 0) <= reg_QA(31 downto 16);
1072
                                faktorB(15 downto 0) <= OP2out(31 downto 16);
1073
                                IF signedOP='1' AND reg_QA(31)='1' THEN
1074
                                        faktorA(31 downto 16) <= X"FFFF";
1075
                                ELSE
1076
                                        faktorA(31 downto 16) <= X"0000";
1077
                                END IF;
1078
                                IF signedOP='1' AND OP2out(31)='1' THEN
1079
                                        faktorB(31 downto 16) <= X"FFFF";
1080
                                ELSE
1081
                                        faktorB(31 downto 16) <= X"0000";
1082
                                END IF;
1083
                        END IF;
1084
                        result_mulu(127 downto 0) <= (faktorA(31 downto 16) & faktorA(31 downto 0) & reg_QA(15 downto 0)) * (faktorB(31 downto 16) & faktorB(31 downto 0) & OP2out(15 downto 0));
1085
                END IF;
1086
--      END PROCESS;
1087
-------------------------------------------------------------------------------
1088
---- MULU/MULS
1089
------------------------------------------------------------------------------- 
1090
--PROCESS (exe_opcode, OP2out, muls_msb, mulu_reg, FAsign, mulu_sign, reg_QA, faktorB, result_mulu, signedOP)
1091
--      BEGIN
1092
        ELSE
1093
                IF (signedOP='1' AND faktorB(31)='1') OR FAsign='1' THEN
1094
                        muls_msb <= mulu_reg(63);
1095
                ELSE
1096
                        muls_msb <= '0';
1097
                END IF;
1098
 
1099
                IF signedOP='1' AND faktorB(31)='1' THEN
1100
                        mulu_sign <= '1';
1101
                ELSE
1102
                        mulu_sign <= '0';
1103
                END IF;
1104
 
1105
                IF MUL_Mode=0 THEN       -- 16 Bit
1106
                        result_mulu(63 downto 32) <= muls_msb&mulu_reg(63 downto 33);
1107
                        result_mulu(15 downto 0) <= 'X'&mulu_reg(15 downto 1);
1108
                        IF mulu_reg(0)='1' THEN
1109
                                IF FAsign='1' THEN
1110
                                        result_mulu(63 downto 47) <= (muls_msb&mulu_reg(63 downto 48)-(mulu_sign&faktorB(31 downto 16)));
1111
                                ELSE
1112
                                        result_mulu(63 downto 47) <= (muls_msb&mulu_reg(63 downto 48)+(mulu_sign&faktorB(31 downto 16)));
1113
                                END IF;
1114
                        END IF;
1115
                ELSE                            -- 32 Bit
1116
                        result_mulu(63 downto 0) <= muls_msb&mulu_reg(63 downto 1);
1117
                        IF mulu_reg(0)='1' THEN
1118
                                IF FAsign='1' THEN
1119
                                        result_mulu(63 downto 31) <= (muls_msb&mulu_reg(63 downto 32)-(mulu_sign&faktorB));
1120
                                ELSE
1121
                                        result_mulu(63 downto 31) <= (muls_msb&mulu_reg(63 downto 32)+(mulu_sign&faktorB));
1122
                                END IF;
1123
                        END IF;
1124
                END IF;
1125
                IF exe_opcode(15)='1' OR MUL_Mode=0 THEN
1126
                        faktorB(31 downto 16) <= OP2out(15 downto 0);
1127
                        faktorB(15 downto 0) <= (OTHERS=>'0');
1128
                ELSE
1129
                        faktorB <= OP2out;
1130
                END IF;
1131
        END IF;
1132
                IF (result_mulu(63 downto 32)=X"00000000" AND (signedOP='0' OR result_mulu(31)='0')) OR
1133
                        (result_mulu(63 downto 32)=X"FFFFFFFF" AND signedOP='1' AND result_mulu(31)='1') THEN
1134
                        set_mV_Flag <= '0';
1135
                ELSE
1136
                        set_mV_Flag <= '1';
1137
                END IF;
1138
        END PROCESS;
1139
 
1140
PROCESS (clk)
1141
        BEGIN
1142
                IF rising_edge(clk) THEN
1143
                        IF clkena_lw='1' THEN
1144
                                IF MUL_Hardware=0 THEN
1145
                                        IF micro_state=mul1 THEN
1146
                                                mulu_reg(63 downto 32) <= (OTHERS=>'0');
1147
                                                IF divs='1' AND ((exe_opcode(15)='1' AND reg_QA(15)='1') OR (exe_opcode(15)='0' AND reg_QA(31)='1')) THEN                                --MULS Neg faktor
1148
                                                        FAsign <= '1';
1149
                                                        mulu_reg(31 downto 0) <= 0-reg_QA;
1150
                                                ELSE
1151
                                                        FAsign <= '0';
1152
                                                        mulu_reg(31 downto 0) <= reg_QA;
1153
                                                END IF;
1154
                                        ELSIF exec(opcMULU)='0' THEN
1155
                                                mulu_reg(63 downto 32) <= (OTHERS=>'-');
1156
                                                mulu_reg <= result_mulu(63 downto 0);
1157
                                        END IF;
1158
                                ELSE
1159
                                        mulu_reg(31 downto 0) <= result_mulu(63 downto 32);
1160
                                END IF;
1161
                        END IF;
1162
                END IF;
1163
        END PROCESS;
1164
 
1165
-------------------------------------------------------------------------------
1166
---- DIVU/DIVS
1167
-------------------------------------------------------------------------------
1168
 
1169
PROCESS (execOPC, OP1out, OP2out, div_reg, div_neg, div_bit, div_sub, div_quot, OP1_sign, div_over, result_div, reg_QA, opcode, sndOPC, divs, exe_opcode, reg_QB,
1170
             signedOP, nozero, div_qsign, OP2outext)
1171
        BEGIN
1172
                divs <= (opcode(15) AND opcode(8)) OR (NOT opcode(15) AND sndOPC(11));
1173
                divisor(15 downto 0) <= (OTHERS=> '0');
1174
                divisor(63 downto 32) <= (OTHERS=> divs AND reg_QA(31));
1175
                IF exe_opcode(15)='1' OR DIV_Mode=0 THEN
1176
                        divisor(47 downto 16) <= reg_QA;
1177
                ELSE
1178
                        divisor(31 downto 0) <= reg_QA;
1179
                        IF exe_opcode(14)='1' AND sndOPC(10)='1' THEN
1180
                                divisor(63 downto 32) <= reg_QB;
1181
                        END IF;
1182
                END IF;
1183
                IF signedOP='1' OR opcode(15)='0' THEN
1184
                        OP2outext <= OP2out(31 downto 16);
1185
                ELSE
1186
                        OP2outext <= (OTHERS=> '0');
1187
                END IF;
1188
                IF signedOP='1' AND OP2out(31) ='1' THEN
1189
                        div_sub <= (div_reg(63 downto 31))+('1'&OP2out(31 downto 0));
1190
                ELSE
1191
                        div_sub <= (div_reg(63 downto 31))-('0'&OP2outext(15 downto 0)&OP2out(15 downto 0));
1192
                END IF;
1193
                IF DIV_Mode=0 THEN
1194
                        div_bit <= div_sub(16);
1195
                ELSE
1196
                        div_bit <= div_sub(32);
1197
                END IF;
1198
                IF div_bit='1' THEN
1199
                        div_quot(63 downto 32) <= div_reg(62 downto 31);
1200
                ELSE
1201
                        div_quot(63 downto 32) <= div_sub(31 downto 0);
1202
                END IF;
1203
                div_quot(31 downto 0) <= div_reg(30 downto 0)&NOT div_bit;
1204
 
1205
 
1206
                IF ((nozero='1' AND signedOP='1' AND (OP2out(31) XOR OP1_sign XOR div_neg XOR div_qsign)='1' )  --Overflow DIVS
1207
                        OR (signedOP='0' AND div_over(32)='0')) AND DIV_Mode/=3 THEN      --Overflow DIVU
1208
                        set_V_Flag <= '1';
1209
                ELSE
1210
                        set_V_Flag <= '0';
1211
                END IF;
1212
        END PROCESS;
1213
 
1214
PROCESS (clk)
1215
        BEGIN
1216
                IF rising_edge(clk) THEN
1217
                        IF clkena_lw='1' THEN
1218
                                V_Flag <= set_V_Flag;
1219
                                signedOP <= divs;
1220
                                IF micro_state=div1 THEN
1221
                                        nozero <= '0';
1222
                                        IF divs='1' AND divisor(63)='1' THEN                            -- Neg divisor
1223
                                                OP1_sign <= '1';
1224
                                                div_reg <= 0-divisor;
1225
                                        ELSE
1226
                                                OP1_sign <= '0';
1227
                                                div_reg <= divisor;
1228
                                        END IF;
1229
                                ELSE
1230
                                        div_reg <= div_quot;
1231
                                        nozero <= NOT div_bit OR nozero;
1232
                                END IF;
1233
                                IF micro_state=div2 THEN
1234
                                        div_qsign <= NOT div_bit;
1235
                                        div_neg <= signedOP AND (OP2out(31) XOR OP1_sign);
1236
                                        IF DIV_Mode=0 THEN
1237
                                                div_over(32 downto 16) <= ('0'&div_reg(47 downto 32))-('0'&OP2out(15 downto 0));
1238
                                        ELSE
1239
                                                div_over <= ('0'&div_reg(63 downto 32))-('0'&OP2out);
1240
                                        END IF;
1241
                                END IF;
1242
                                IF exec(write_reminder)='0' THEN
1243
--                              IF exec_DIVU='0' THEN
1244
                                        IF div_neg='1' THEN
1245
                                                result_div(31 downto 0) <= 0-div_quot(31 downto 0);
1246
                                        ELSE
1247
                                                result_div(31 downto 0) <= div_quot(31 downto 0);
1248
                                        END IF;
1249
 
1250
                                        IF OP1_sign='1' THEN
1251
                                                result_div(63 downto 32) <= 0-div_quot(63 downto 32);
1252
                                        ELSE
1253
                                                result_div(63 downto 32) <= div_quot(63 downto 32);
1254
                                        END IF;
1255
                                END IF;
1256
                        END IF;
1257
                END IF;
1258
        END PROCESS;
1259
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.