OpenCores
URL https://opencores.org/ocsvn/tg68kc/tg68kc/trunk

Subversion Repositories tg68kc

[/] [tg68kc/] [trunk/] [TG68KdotC_Kernel.vhd] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobiflex
------------------------------------------------------------------------------
2
------------------------------------------------------------------------------
3
--                                                                          --
4 4 tobiflex
-- Copyright (c) 2009-2019 Tobias Gubener                                   -- 
5
-- Patches by MikeJ, Till Harbaum, Rok Krajnk, ...                          --
6 2 tobiflex
-- Subdesign fAMpIGA by TobiFlex                                            --
7
--                                                                          --
8
-- This source file is free software: you can redistribute it and/or modify --
9
-- it under the terms of the GNU Lesser General Public License as published --
10
-- by the Free Software Foundation, either version 3 of the License, or     --
11
-- (at your option) any later version.                                      --
12
--                                                                          --
13
-- This source file is distributed in the hope that it will be useful,      --
14
-- but WITHOUT ANY WARRANTY; without even the implied warranty of           --
15
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            --
16
-- GNU General Public License for more details.                             --
17
--                                                                          --
18
-- You should have received a copy of the GNU General Public License        --
19
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.    --
20
--                                                                          --
21
------------------------------------------------------------------------------
22
------------------------------------------------------------------------------
23
 
24 4 tobiflex
-- 30.10.2019 TG bugfix RTR in 68020-mode
25
-- 30.10.2019 TG bugfix BFINS again
26
-- 19.10.2019 TG insert some bugfixes from apolkosnik
27 2 tobiflex
-- 05.12.2018 TG insert RTD opcode
28
-- 03.12.2018 TG insert barrel shifter
29
-- 01.11.2017 TG bugfix V-Flag for ASL/ASR - thanks Peter Graf
30
-- 29.05.2017 TG decode 0x4AFB as illegal, needed for QL BKP - thanks Peter Graf
31
-- 21.05.2017 TG insert generic for hardware multiplier for MULU & MULS
32
-- 04.04.2017 TG change GPL to LGPL
33
-- 04.04.2017 TG BCD handling with all undefined behavior! 
34
-- 02.04.2017 TG bugfix Bitfield Opcodes 
35
-- 19.03.2017 TG insert PACK/UNPACK  
36
-- 19.03.2017 TG bugfix CMPI ...(PC) - thanks Till Harbaum
37
--     ???    MJ bugfix non_aligned movem access
38
-- add berr handling 10.03.2013 - needed for ATARI Core
39
 
40
-- bugfix session 07/08.Feb.2013
41
-- movem ,-(an)
42
-- movem (an)+,          - thanks  Gerhard Suttner
43
-- btst dn,#data         - thanks  Peter Graf
44
-- movep                 - thanks  Till Harbaum
45
-- IPL vector            - thanks  Till Harbaum
46
--  
47
 
48
-- optimize Register file
49
 
50
-- to do 68010:
51
-- (MOVEC)
52
-- BKPT
53
-- MOVES
54
--
55
-- to do 68020:
56
-- (CALLM)
57
-- (RETM)
58
 
59
-- CAS, CAS2
60
-- CHK2
61
-- CMP2
62
-- cpXXX Coprozessor stuff
63
-- TRAPcc
64
 
65
-- done 020:
66
-- PACK
67
-- UNPK
68
-- Bitfields
69
-- address modes
70
-- long bra
71
-- DIVS.L, DIVU.L
72
-- LINK long
73
-- MULS.L, MULU.L
74
-- extb.l
75
 
76
library ieee;
77
use ieee.std_logic_1164.all;
78
use ieee.std_logic_unsigned.all;
79
use work.TG68K_Pack.all;
80
 
81
entity TG68KdotC_Kernel is
82
        generic(
83
                SR_Read : integer:= 1;         --0=>user,   1=>privileged,      2=>switchable with CPU(0)
84
                VBR_Stackframe : integer:= 1;  --0=>no,     1=>yes/extended,    2=>switchable with CPU(0)
85
                extAddr_Mode : integer:= 1;    --0=>no,     1=>yes,    2=>switchable with CPU(1)
86
                MUL_Mode : integer := 1;           --0=>16Bit,  1=>32Bit,  2=>switchable with CPU(1),  3=>no MUL,  
87
                MUL_Hardware : integer := 1;   --0=>no,         1=>yes,  
88
                DIV_Mode : integer := 1;           --0=>16Bit,  1=>32Bit,  2=>switchable with CPU(1),  3=>no DIV,  
89
                BarrelShifter : integer := 2;  --0=>no,     1=>yes,    2=>switchable with CPU(1)  
90
                BitField : integer := 1            --0=>no,     1=>yes,    2=>switchable with CPU(1)  
91
--              SR_Read : integer:= 0;         --0=>user,   1=>privileged,      2=>switchable with CPU(0)
92
--              VBR_Stackframe : integer:= 0;  --0=>no,     1=>yes/extended,    2=>switchable with CPU(0)
93
--              extAddr_Mode : integer:= 0;    --0=>no,     1=>yes,    2=>switchable with CPU(1)
94
--              MUL_Mode : integer := 0;           --0=>16Bit,  1=>32Bit,  2=>switchable with CPU(1),  3=>no MUL,  
95
--              MUL_Hardware : integer := 1;   --0=>no,         1=>yes,  
96
--              DIV_Mode : integer := 0;           --0=>16Bit,  1=>32Bit,  2=>switchable with CPU(1),  3=>no DIV,  
97
--              BarrelShifter : integer := 0;  --0=>no,     1=>yes,    2=>switchable with CPU(1)  
98
--              BitField : integer := 0            --0=>no,     1=>yes,    2=>switchable with CPU(1)  
99
                );
100 4 tobiflex
        port(clk                : in std_logic;
101
                nReset                  : in std_logic;                 --low active
102
                clkena_in               : in std_logic:='1';
103
                data_in                 : in std_logic_vector(15 downto 0);
104 2 tobiflex
                IPL                                     : in std_logic_vector(2 downto 0):="111";
105
                IPL_autovector          : in std_logic:='0';
106
                berr                            : in std_logic:='0';                                     -- only 68000 Stackpointer dummy
107
                CPU                     : in std_logic_vector(1 downto 0):="00";  -- 00->68000  01->68010  11->68020(only some parts - yet)
108 4 tobiflex
                addr_out                : out std_logic_vector(31 downto 0);
109
                data_write              : out std_logic_vector(15 downto 0);
110 2 tobiflex
                nWr                                     : out std_logic;
111
                nUDS, nLDS                      : out std_logic;
112
                busstate                        : out std_logic_vector(1 downto 0);      -- 00-> fetch code 10->read data 11->write data 01->no memaccess
113
                nResetOut                       : out std_logic;
114 4 tobiflex
                FC                      : out std_logic_vector(2 downto 0);
115 2 tobiflex
--         
116
                clr_berr                        : out std_logic;
117
-- for debug            
118
                skipFetch                       : out std_logic;
119 4 tobiflex
--              regin                   : buffer std_logic_vector(31 downto 0)
120
                regin_out      : out std_logic_vector(31 downto 0);
121 2 tobiflex
                CACR_out       : out std_logic_vector( 3 downto 0);
122
                VBR_out        : out std_logic_vector(31 downto 0)
123 4 tobiflex
                );
124 2 tobiflex
end TG68KdotC_Kernel;
125
 
126
architecture logic of TG68KdotC_Kernel is
127
 
128
 
129 4 tobiflex
        signal syncReset                        : std_logic_vector(3 downto 0);
130
        signal Reset                            : std_logic;
131
        signal clkena_lw                        : std_logic;
132
        signal TG68_PC                          : std_logic_vector(31 downto 0);
133
        signal tmp_TG68_PC              : std_logic_vector(31 downto 0);
134
        signal TG68_PC_add              : std_logic_vector(31 downto 0);
135
        signal PC_dataa                 : std_logic_vector(31 downto 0);
136
        signal PC_datab                 : std_logic_vector(31 downto 0);
137
        signal memaddr                          : std_logic_vector(31 downto 0);
138
        signal state                            : std_logic_vector(1 downto 0);
139
        signal datatype                 : std_logic_vector(1 downto 0);
140
        signal set_datatype             : std_logic_vector(1 downto 0);
141
        signal exe_datatype             : std_logic_vector(1 downto 0);
142
        signal setstate                 : std_logic_vector(1 downto 0);
143 2 tobiflex
 
144 4 tobiflex
        signal opcode                           : std_logic_vector(15 downto 0);
145
        signal exe_opcode                       : std_logic_vector(15 downto 0);
146
        signal sndOPC                           : std_logic_vector(15 downto 0);
147 2 tobiflex
 
148 4 tobiflex
        signal last_opc_read            : std_logic_vector(15 downto 0);
149
        signal registerin                       : std_logic_vector(31 downto 0);
150
        signal reg_QA                           : std_logic_vector(31 downto 0);
151
        signal reg_QB                           : std_logic_vector(31 downto 0);
152
        signal Wwrena,Lwrena            : bit;
153
        signal Bwrena                           : bit;
154
        signal Regwrena_now             : bit;
155 2 tobiflex
        signal rf_dest_addr             : std_logic_vector(3 downto 0);
156
        signal rf_source_addr   : std_logic_vector(3 downto 0);
157
        signal rf_source_addrd  : std_logic_vector(3 downto 0);
158
 
159 4 tobiflex
        signal regin                            : std_logic_vector(31 downto 0);
160
        type   regfile_t is array(0 to 15) of std_logic_vector(31 downto 0);
161
        signal regfile                          : regfile_t := (OTHERS => (OTHERS => '0')); -- mikej stops sim X issues;
162
        signal RDindex_A                        : integer range 0 to 15;
163
        signal RDindex_B                        : integer range 0 to 15;
164
        signal WR_AReg                          : std_logic;
165 2 tobiflex
 
166
 
167 4 tobiflex
        signal addr                                     : std_logic_vector(31 downto 0);
168
        signal memaddr_reg              : std_logic_vector(31 downto 0);
169
        signal memaddr_delta            : std_logic_vector(31 downto 0);
170
        signal use_base                 : bit;
171 2 tobiflex
 
172 4 tobiflex
        signal ea_data                          : std_logic_vector(31 downto 0);
173
        signal OP1out                           : std_logic_vector(31 downto 0);
174
        signal OP2out                           : std_logic_vector(31 downto 0);
175
        signal OP1outbrief              : std_logic_vector(15 downto 0);
176
        signal OP1in                            : std_logic_vector(31 downto 0);
177
        signal ALUout   : std_logic_vector(31 downto 0);
178
        signal data_write_tmp   : std_logic_vector(31 downto 0);
179
        signal data_write_muxin : std_logic_vector(31 downto 0);
180
        signal data_write_mux   : std_logic_vector(47 downto 0);
181
        signal nextpass                 : bit;
182
        signal setnextpass              : bit;
183
        signal setdispbyte              : bit;
184
        signal setdisp                          : bit;
185
        signal regdirectsource  :bit;           -- checken !!!
186
        signal addsub_q                 : std_logic_vector(31 downto 0);
187
        signal briefdata                        : std_logic_vector(31 downto 0);
188
--      signal c_in                             : std_logic_vector(3 downto 0);
189
        signal c_out                            : std_logic_vector(2 downto 0);
190 2 tobiflex
 
191 4 tobiflex
        signal mem_address              : std_logic_vector(31 downto 0);
192
        signal memaddr_a                        : std_logic_vector(31 downto 0);
193 2 tobiflex
 
194 4 tobiflex
        signal TG68_PC_brw              : bit;
195
        signal TG68_PC_word             : bit;
196
        signal getbrief                 : bit;
197
        signal brief                            : std_logic_vector(15 downto 0);
198
        signal dest_areg                        : std_logic;
199
        signal source_areg              : std_logic;
200
        signal data_is_source   : bit;
201
        signal store_in_tmp             : bit;
202
        signal write_back                       : bit;
203
        signal exec_write_back  : bit;
204
        signal setstackaddr             : bit;
205
        signal writePC                          : bit;
206
        signal writePCbig                       : bit;
207
        signal set_writePCbig   : bit;
208
        signal setopcode                        : bit;
209
        signal decodeOPC                        : bit;
210
        signal execOPC                          : bit;
211
        signal setexecOPC                       : bit;
212
        signal endOPC                           : bit;
213
        signal setendOPC                        : bit;
214
        signal Flags                            : std_logic_vector(7 downto 0);  -- ...XNZVC
215
        signal FlagsSR                          : std_logic_vector(7 downto 0);  -- T.S.0III
216
        signal SRin                                     : std_logic_vector(7 downto 0);
217
        signal exec_DIRECT              : bit;
218
        signal exec_tas                 : std_logic;
219
        signal set_exec_tas             : std_logic;
220 2 tobiflex
 
221 4 tobiflex
        signal exe_condition            : std_logic;
222
        signal ea_only                          : bit;
223
        signal source_lowbits   : bit;
224
        signal source_2ndHbits  : bit;
225
        signal source_2ndLbits  : bit;
226
        signal dest_2ndHbits            : bit;
227
        signal dest_hbits                       : bit;
228
        signal rot_bits                 : std_logic_vector(1 downto 0);
229
        signal set_rot_bits             : std_logic_vector(1 downto 0);
230
        signal rot_cnt                          : std_logic_vector(5 downto 0);
231
        signal set_rot_cnt              : std_logic_vector(5 downto 0);
232
        signal movem_actiond            : bit;
233
        signal movem_regaddr            : std_logic_vector(3 downto 0);
234
        signal movem_mux                        : std_logic_vector(3 downto 0);
235
        signal movem_presub             : bit;
236
        signal movem_run                        : bit;
237
        signal ea_calc_b                        : std_logic_vector(31 downto 0);
238
        signal set_direct_data  : bit;
239
        signal use_direct_data  : bit;
240
        signal direct_data              : bit;
241 2 tobiflex
 
242 4 tobiflex
        signal set_V_Flag                       : bit;
243
        signal set_vectoraddr   : bit;
244
        signal writeSR                          : bit;
245
        signal trap_berr                        : bit;
246
        signal trap_illegal             : bit;
247
        signal trap_addr_error  : bit;
248
        signal trap_priv                        : bit;
249
        signal trap_trace                       : bit;
250
        signal trap_1010                        : bit;
251
        signal trap_1111                        : bit;
252
        signal trap_trap                        : bit;
253
        signal trap_trapv                       : bit;
254
        signal trap_interrupt   : bit;
255
        signal trapmake                 : bit;
256
        signal trapd                            : bit;
257
        signal trap_SR                          : std_logic_vector(7 downto 0);
258
        signal make_trace                       : std_logic;
259
        signal make_berr                        : std_logic;
260 2 tobiflex
 
261 4 tobiflex
        signal set_stop                 : bit;
262
        signal stop                                     : bit;
263
        signal trap_vector              : std_logic_vector(31 downto 0);
264
        signal trap_vector_vbr  : std_logic_vector(31 downto 0);
265
        signal USP                                      : std_logic_vector(31 downto 0);
266
--      signal illegal_write_mode       : bit;
267
--      signal illegal_read_mode        : bit;
268
--      signal illegal_byteaddr         : bit;
269 2 tobiflex
 
270 4 tobiflex
        signal IPL_nr                           : std_logic_vector(2 downto 0);
271
        signal rIPL_nr                          : std_logic_vector(2 downto 0);
272
        signal IPL_vec                          : std_logic_vector(7 downto 0);
273
        signal interrupt                        : bit;
274
        signal setinterrupt             : bit;
275
        signal SVmode                           : std_logic;
276
        signal preSVmode                        : std_logic;
277
        signal Suppress_Base            : bit;
278
        signal set_Suppress_Base: bit;
279
        signal set_Z_error              : bit;
280
        signal Z_error                  : bit;
281
        signal ea_build_now             : bit;
282
        signal build_logical            : bit;
283
        signal build_bcd                        : bit;
284 2 tobiflex
 
285 4 tobiflex
        signal data_read                        : std_logic_vector(31 downto 0);
286
        signal bf_ext_in                        : std_logic_vector(7 downto 0);
287
        signal bf_ext_out                       : std_logic_vector(7 downto 0);
288
--      signal byte                                     : bit;
289
        signal long_start                       : bit;
290 2 tobiflex
        signal long_start_alu   : bit;
291 4 tobiflex
        signal non_aligned              : std_logic;
292
        signal long_done                        : bit;
293
        signal memmask                          : std_logic_vector(5 downto 0);
294
        signal set_memmask              : std_logic_vector(5 downto 0);
295
        signal memread                          : std_logic_vector(3 downto 0);
296
        signal wbmemmask                        : std_logic_vector(5 downto 0);
297
        signal memmaskmux                       : std_logic_vector(5 downto 0);
298
        signal oddout                           : std_logic;
299
        signal set_oddout                       : std_logic;
300
        signal PCbase                           : std_logic;
301
        signal set_PCbase                       : std_logic;
302 2 tobiflex
 
303 4 tobiflex
        signal last_data_read   : std_logic_vector(31 downto 0);
304
        signal last_data_in             : std_logic_vector(31 downto 0);
305 2 tobiflex
 
306 4 tobiflex
        signal bf_offset                        : std_logic_vector(5 downto 0);
307
        signal bf_width                 : std_logic_vector(5 downto 0);
308
        signal bf_bhits                 : std_logic_vector(5 downto 0);
309
        signal bf_shift                 : std_logic_vector(5 downto 0);
310
        signal alu_width                        : std_logic_vector(5 downto 0);
311
        signal alu_bf_shift             : std_logic_vector(5 downto 0);
312
        signal bf_loffset                       : std_logic_vector(5 downto 0);
313
        signal bf_full_offset   : std_logic_vector(31 downto 0);
314
        signal alu_bf_ffo_offset: std_logic_vector(31 downto 0);
315
        signal alu_bf_loffset   : std_logic_vector(5 downto 0);
316 2 tobiflex
 
317 4 tobiflex
        signal movec_data                       : std_logic_vector(31 downto 0);
318
        signal VBR                                      : std_logic_vector(31 downto 0);
319
        signal CACR                                     : std_logic_vector(3 downto 0);
320
        signal DFC                                      : std_logic_vector(2 downto 0);
321
        signal SFC                                      : std_logic_vector(2 downto 0);
322 2 tobiflex
 
323
 
324 4 tobiflex
        signal set                                      : bit_vector(lastOpcBit downto 0);
325
        signal set_exec                 : bit_vector(lastOpcBit downto 0);
326
        signal exec                                     : bit_vector(lastOpcBit downto 0);
327 2 tobiflex
 
328
        signal micro_state              : micro_states;
329
        signal next_micro_state : micro_states;
330
 
331
 
332
 
333
BEGIN
334
 
335
ALU: TG68K_ALU
336
        generic map(
337 4 tobiflex
                MUL_Mode => MUL_Mode,                           --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),              3=>no MUL,
338
                MUL_Hardware => MUL_Hardware,           --0=>no,                1=>yes,
339
                DIV_Mode => DIV_Mode,                           --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),              3=>no DIV,
340
                BarrelShifter => BarrelShifter  --0=>no,                1=>yes,         2=>switchable with CPU(1)  
341 2 tobiflex
                )
342
    port map(
343
        clk => clk,                             --: in std_logic;
344
        Reset => Reset,                         --: in std_logic;
345
        clkena_lw => clkena_lw,         --: in std_logic:='1';
346
        execOPC => execOPC,             --: in bit;
347
        decodeOPC => decodeOPC,         --: in bit;
348
        exe_condition => exe_condition, --: in std_logic;
349
        exec_tas => exec_tas,                   --: in std_logic;
350
        long_start => long_start_alu,   --: in bit;
351 4 tobiflex
        non_aligned => non_aligned,
352 2 tobiflex
        movem_presub => movem_presub,   --: in bit;
353
        set_stop => set_stop,                   --: in bit;
354
        Z_error => Z_error,             --: in bit;
355
 
356
        rot_bits => rot_bits,           --: in std_logic_vector(1 downto 0);
357 4 tobiflex
        exec => exec,                   --: in bit_vector(lastOpcBit downto 0);
358 2 tobiflex
        OP1out => OP1out,                       --: in std_logic_vector(31 downto 0);
359
        OP2out => OP2out,                       --: in std_logic_vector(31 downto 0);
360
        reg_QA => reg_QA,                       --: in std_logic_vector(31 downto 0);
361
        reg_QB => reg_QB,                       --: in std_logic_vector(31 downto 0);
362
        opcode => opcode,                       --: in std_logic_vector(15 downto 0);
363
--        datatype => datatype,                 --: in std_logic_vector(1 downto 0);
364
        exe_opcode => exe_opcode,       --: in std_logic_vector(15 downto 0);
365
        exe_datatype => exe_datatype,   --: in std_logic_vector(1 downto 0);
366
        sndOPC => sndOPC,                       --: in std_logic_vector(15 downto 0);
367
        last_data_read => last_data_read(15 downto 0),   --: in std_logic_vector(31 downto 0);
368
        data_read => data_read(15 downto 0),                     --: in std_logic_vector(31 downto 0);
369
        FlagsSR => FlagsSR,             --: in std_logic_vector(7 downto 0);
370 4 tobiflex
        micro_state => micro_state,             --: in micro_states;  
371
        bf_ext_in => bf_ext_in,
372
        bf_ext_out => bf_ext_out,
373
        bf_shift => alu_bf_shift,
374
        bf_width => alu_width,
375
        bf_ffo_offset => alu_bf_ffo_offset,
376
        bf_loffset => alu_bf_loffset(4 downto 0),
377 2 tobiflex
 
378
        set_V_Flag => set_V_Flag,           --: buffer bit;
379
        Flags => Flags,                         --: buffer std_logic_vector(8 downto 0);
380
        c_out => c_out,                         --: buffer std_logic_vector(2 downto 0);
381
        addsub_q => addsub_q,           --: buffer std_logic_vector(31 downto 0);
382
        ALUout => ALUout                        --: buffer std_logic_vector(31 downto 0)
383
    );
384
 
385 4 tobiflex
   long_start_alu <= to_bit(NOT memmaskmux(3));
386
 
387 2 tobiflex
   process (memmaskmux)
388
   begin
389 4 tobiflex
      non_aligned <= '0';
390
      if (memmaskmux(5 downto 4) = "01") or (memmaskmux(5 downto 4) = "10") then
391
         non_aligned <= '1';
392
      end if;
393 2 tobiflex
    end process;
394
-----------------------------------------------------------------------------
395
-- Bus control
396
-----------------------------------------------------------------------------
397 4 tobiflex
   regin_out <= regin;
398
 
399
 
400 2 tobiflex
        nWr <= '0' WHEN state="11" ELSE '1';
401
        busstate <= state;
402
        nResetOut <= '0' WHEN exec(opcRESET)='1' ELSE '1';
403
 
404
   -- does shift for byte access. note active low me
405
   -- should produce address error on 68000
406
   memmaskmux <= memmask when addr(0) = '1' else memmask(4 downto 0) & '1';
407
        nUDS <= memmaskmux(5);
408
        nLDS <= memmaskmux(4);
409
        clkena_lw <= '1' WHEN clkena_in='1' AND memmaskmux(3)='1' ELSE '0';
410
        clr_berr <= '1' WHEN setopcode='1' AND trap_berr='1' ELSE '0';
411
 
412
        PROCESS (clk, nReset)
413
        BEGIN
414
                IF nReset='0' THEN
415
                        syncReset <= "0000";
416
                        Reset <= '1';
417
                ELSIF rising_edge(clk) THEN
418
                        IF clkena_in='1' THEN
419
                                syncReset <= syncReset(2 downto 0)&'1';
420
                                Reset <= NOT syncReset(3);
421
                        END IF;
422
                END IF;
423
        END PROCESS;
424
 
425
PROCESS (clk, long_done, last_data_in, data_in, addr, long_start, memmaskmux, memread, memmask, data_read)
426
        BEGIN
427
                IF memmaskmux(4)='0' THEN
428
                        data_read <= last_data_in(15 downto 0)&data_in;
429
                ELSE
430
                        data_read <= last_data_in(23 downto 0)&data_in(15 downto 8);
431
                END IF;
432
                IF memread(0)='1' OR (memread(1 downto 0)="10" AND memmaskmux(4)='1')THEN
433
                        data_read(31 downto 16) <= (OTHERS=>data_read(15));
434
                END IF;
435
 
436
                IF rising_edge(clk) THEN
437
                        IF clkena_lw='1' AND state="10" THEN
438
                                IF memmaskmux(4)='0' THEN
439
                                        bf_ext_in <= last_data_in(23 downto 16);
440
                                ELSE
441
                                        bf_ext_in <= last_data_in(31 downto 24);
442
                                END IF;
443
                        END IF;
444
                        IF Reset='1' THEN
445
                                last_data_read <= (OTHERS => '0');
446
                        ELSIF clkena_in='1' THEN
447
                                IF state="00" OR exec(update_ld)='1' THEN
448
                                        last_data_read <= data_read;
449
                                        IF state(1)='0' AND memmask(1)='0' THEN
450
                                                last_data_read(31 downto 16) <= last_opc_read;
451
                                        ELSIF state(1)='0' OR memread(1)='1' THEN
452
                                                last_data_read(31 downto 16) <= (OTHERS=>data_in(15));
453
                                        END IF;
454
                                END IF;
455
                                last_data_in <= last_data_in(15 downto 0)&data_in(15 downto 0);
456
 
457
                        END IF;
458
                END IF;
459
                                long_start <= to_bit(NOT memmask(1));
460
                                long_done <= to_bit(NOT memread(1));
461
        END PROCESS;
462
 
463
PROCESS (long_start, reg_QB, data_write_tmp, exec, data_read, data_write_mux, memmaskmux, bf_ext_out,
464
                 data_write_muxin, memmask, oddout, addr)
465
        BEGIN
466
                IF exec(write_reg)='1' THEN
467
                        data_write_muxin <= reg_QB;
468
                ELSE
469
                        data_write_muxin <= data_write_tmp;
470
                END IF;
471
 
472
                IF BitField=0 THEN
473
                        IF oddout=addr(0) THEN
474
                                data_write_mux <= "--------"&"--------"&data_write_muxin;
475
                        ELSE
476
                                data_write_mux <= "--------"&data_write_muxin&"--------";
477
                        END IF;
478
                ELSE
479
                        IF oddout=addr(0) THEN
480
                                data_write_mux <= "--------"&bf_ext_out&data_write_muxin;
481
                        ELSE
482
                                data_write_mux <= bf_ext_out&data_write_muxin&"--------";
483
                        END IF;
484
                END IF;
485
 
486
                IF memmaskmux(1)='0' THEN
487
                        data_write <= data_write_mux(47 downto 32);
488
                ELSIF memmaskmux(3)='0' THEN
489
                        data_write <= data_write_mux(31 downto 16);
490
                ELSE
491
                        data_write <= data_write_mux(15 downto 0);
492
                END IF;
493
                IF exec(mem_byte)='1' THEN      --movep
494
                        data_write(7 downto 0) <= data_write_tmp(15 downto 8);
495
                END IF;
496
        END PROCESS;
497
 
498
-----------------------------------------------------------------------------
499
-- Registerfile
500
-----------------------------------------------------------------------------
501
PROCESS (clk, regfile, RDindex_A, RDindex_B, exec)
502
        BEGIN
503
                reg_QA <= regfile(RDindex_A);
504
                reg_QB <= regfile(RDindex_B);
505
                IF rising_edge(clk) THEN
506
                    IF clkena_lw='1' THEN
507
                                rf_source_addrd <= rf_source_addr;
508
                                WR_AReg <= rf_dest_addr(3);
509
                                RDindex_A <= conv_integer(rf_dest_addr(3 downto 0));
510
                                RDindex_B <= conv_integer(rf_source_addr(3 downto 0));
511
                                IF Wwrena='1' THEN
512
                                        regfile(RDindex_A) <= regin;
513
                                END IF;
514
 
515
                                IF exec(to_USP)='1' THEN
516
                                        USP <= reg_QA;
517
                                END IF;
518
                        END IF;
519
                END IF;
520
        END PROCESS;
521
 
522
-----------------------------------------------------------------------------
523
-- Write Reg
524
-----------------------------------------------------------------------------
525
PROCESS (OP1in, reg_QA, Regwrena_now, Bwrena, Lwrena, exe_datatype, WR_AReg, movem_actiond, exec, ALUout, memaddr, memaddr_a, ea_only, USP, movec_data)
526
        BEGIN
527
                regin <= ALUout;
528
                IF exec(save_memaddr)='1' THEN
529
                        regin <= memaddr;
530
                ELSIF exec(get_ea_now)='1' AND ea_only='1' THEN
531
                        regin <= memaddr_a;
532
                ELSIF exec(from_USP)='1' THEN
533
                        regin <= USP;
534
                ELSIF exec(movec_rd)='1' THEN
535
                        regin <= movec_data;
536
                END IF;
537
 
538
                IF Bwrena='1' THEN
539
                        regin(15 downto 8) <= reg_QA(15 downto 8);
540
                END IF;
541
                IF Lwrena='0' THEN
542
                        regin(31 downto 16) <= reg_QA(31 downto 16);
543
                END IF;
544
 
545
                Bwrena <= '0';
546
                Wwrena <= '0';
547
                Lwrena <= '0';
548
                IF exec(presub)='1' OR exec(postadd)='1' OR exec(changeMode)='1' THEN           -- -(An)+
549
                        Wwrena <= '1';
550
                        Lwrena <= '1';
551
                ELSIF Regwrena_now='1' THEN             --dbcc  
552
                        Wwrena <= '1';
553
                ELSIF exec(Regwrena)='1' THEN           --read (mem)
554
                        Wwrena <= '1';
555
                        CASE exe_datatype IS
556
                                WHEN "00" =>            --BYTE
557
                                        Bwrena <= '1';
558
                                WHEN "01" =>            --WORD
559
                                        IF WR_AReg='1' OR movem_actiond='1' THEN
560
                                                Lwrena <='1';
561
                                        END IF;
562
                                WHEN OTHERS =>          --LONG
563
                                        Lwrena <= '1';
564
                        END CASE;
565
                END IF;
566
        END PROCESS;
567
 
568
-----------------------------------------------------------------------------
569
-- set dest regaddr
570
-----------------------------------------------------------------------------
571
PROCESS (opcode, rf_source_addrd, brief, setstackaddr, dest_hbits, dest_areg, data_is_source, sndOPC, exec, set, dest_2ndHbits)
572
        BEGIN
573
                IF exec(movem_action) ='1' THEN
574
                        rf_dest_addr <= rf_source_addrd;
575
                ELSIF set(briefext)='1' THEN
576
                        rf_dest_addr <= brief(15 downto 12);
577 4 tobiflex
                ELSIF set(get_bfoffset)='1' THEN
578
                        IF opcode(15 downto 12)="1110" THEN
579
                                rf_dest_addr <= '0'&sndOPC(8 downto 6);
580
                        ELSE
581
                                rf_dest_addr <= sndOPC(9 downto 6);
582
                        END IF;
583 2 tobiflex
                ELSIF dest_2ndHbits='1' THEN
584 4 tobiflex
                        rf_dest_addr <= '0'&sndOPC(14 downto 12);
585 2 tobiflex
                ELSIF set(write_reminder)='1' THEN
586 4 tobiflex
                        rf_dest_addr <= '0'&sndOPC(2 downto 0);
587 2 tobiflex
                ELSIF setstackaddr='1' THEN
588
                        rf_dest_addr <= "1111";
589
                ELSIF dest_hbits='1' THEN
590
                        rf_dest_addr <= dest_areg&opcode(11 downto 9);
591
                ELSE
592
                        IF opcode(5 downto 3)="000" OR data_is_source='1' THEN
593
                                rf_dest_addr <= dest_areg&opcode(2 downto 0);
594
                        ELSE
595
                                rf_dest_addr <= '1'&opcode(2 downto 0);
596
                        END IF;
597
                END IF;
598
        END PROCESS;
599
 
600
-----------------------------------------------------------------------------
601
-- set source regaddr
602
-----------------------------------------------------------------------------
603
PROCESS (opcode, movem_presub, movem_regaddr, source_lowbits, source_areg, sndOPC, exec, set, source_2ndLbits, source_2ndHbits)
604
        BEGIN
605
                IF exec(movem_action)='1' OR set(movem_action) ='1' THEN
606
                        IF movem_presub='1' THEN
607
                                rf_source_addr <= movem_regaddr XOR "1111";
608
                        ELSE
609
                                rf_source_addr <= movem_regaddr;
610
                        END IF;
611
                ELSIF source_2ndLbits='1' THEN
612 4 tobiflex
                        rf_source_addr <= '0'&sndOPC(2 downto 0);
613 2 tobiflex
                ELSIF source_2ndHbits='1' THEN
614 4 tobiflex
                        rf_source_addr <= '0'&sndOPC(14 downto 12);
615 2 tobiflex
                ELSIF source_lowbits='1' THEN
616
                        rf_source_addr <= source_areg&opcode(2 downto 0);
617
                ELSIF exec(linksp)='1' THEN
618
                        rf_source_addr <= "1111";
619
                ELSE
620
                        rf_source_addr <= source_areg&opcode(11 downto 9);
621
                END IF;
622
        END PROCESS;
623
 
624
-----------------------------------------------------------------------------
625
-- set OP1out
626
-----------------------------------------------------------------------------
627
PROCESS (reg_QA, store_in_tmp, ea_data, long_start, addr, exec, memmaskmux)
628
        BEGIN
629
                OP1out <= reg_QA;
630
                IF exec(OP1out_zero)='1' THEN
631
                        OP1out <= (OTHERS => '0');
632
                ELSIF exec(ea_data_OP1)='1' AND store_in_tmp='1' THEN
633
                        OP1out <= ea_data;
634
                ELSIF exec(movem_action)='1' OR memmaskmux(3)='0' OR exec(OP1addr)='1' THEN
635
                        OP1out <= addr;
636
                END IF;
637
        END PROCESS;
638
 
639
-----------------------------------------------------------------------------
640
-- set OP2out
641
-----------------------------------------------------------------------------
642
PROCESS (OP2out, reg_QB, exe_opcode, exe_datatype, execOPC, exec, use_direct_data,
643
             store_in_tmp, data_write_tmp, ea_data)
644
        BEGIN
645
                OP2out(15 downto 0) <= reg_QB(15 downto 0);
646
                OP2out(31 downto 16) <= (OTHERS => OP2out(15));
647
                IF exec(OP2out_one)='1' THEN
648
                        OP2out(15 downto 0) <= "1111111111111111";
649
                ELSIF exec(opcEXT)='1' THEN
650
                        IF exe_opcode(6)='0' OR exe_opcode(8)='1' THEN   --ext.w
651
                                OP2out(15 downto 8) <= (OTHERS => OP2out(7));
652
                        END IF;
653
                ELSIF use_direct_data='1' OR (exec(exg)='1' AND execOPC='1') OR exec(get_bfoffset)='1' THEN
654
                        OP2out <= data_write_tmp;
655
                ELSIF (exec(ea_data_OP1)='0' AND store_in_tmp='1') OR exec(ea_data_OP2)='1' THEN
656
                        OP2out <= ea_data;
657
                ELSIF exec(opcMOVEQ)='1' THEN
658
                        OP2out(7 downto 0) <= exe_opcode(7 downto 0);
659
                        OP2out(15 downto 8) <= (OTHERS => exe_opcode(7));
660
                ELSIF exec(opcADDQ)='1' THEN
661
                        OP2out(2 downto 0) <= exe_opcode(11 downto 9);
662
                        IF exe_opcode(11 downto 9)="000" THEN
663
                                OP2out(3) <='1';
664
                        ELSE
665
                                OP2out(3) <='0';
666
                        END IF;
667
                        OP2out(15 downto 4) <= (OTHERS => '0');
668
                ELSIF exe_datatype="10" THEN
669
                        OP2out(31 downto 16) <= reg_QB(31 downto 16);
670
                END IF;
671
        END PROCESS;
672
 
673
 
674
-----------------------------------------------------------------------------
675
-- handle EA_data, data_write
676
-----------------------------------------------------------------------------
677
PROCESS (clk)
678
        BEGIN
679
        IF rising_edge(clk) THEN
680
                        IF Reset = '1' THEN
681
                                store_in_tmp <='0';
682
                                exec_write_back <= '0';
683
                                direct_data <= '0';
684
                                use_direct_data <= '0';
685
                                Z_error <= '0';
686
                        ELSIF clkena_lw='1' THEN
687
                                direct_data <= '0';
688
                                IF state="11" THEN
689
                                        exec_write_back <= '0';
690
                                ELSIF setstate="10" AND write_back='1' THEN
691
                                        exec_write_back <= '1';
692
                                END IF;
693
 
694
 
695
                                IF set_direct_data='1' THEN
696
                                        direct_data <= '1';
697
                                        use_direct_data <= '1';
698
                                ELSIF endOPC='1' THEN
699
                                        use_direct_data <= '0';
700
                                END IF;
701
                                exec_DIRECT <= set_exec(opcMOVE);
702
 
703
                                IF endOPC='1' THEN
704
                                        store_in_tmp <='0';
705
                                        Z_error <= '0';
706
                                ELSE
707
                                        IF set_Z_error='1'  THEN
708
                                                Z_error <= '1';
709
                                        END IF;
710
                                        IF set_exec(opcMOVE)='1' AND state="11" THEN
711
                                                use_direct_data <= '1';
712
                                        END IF;
713
 
714
                                        IF state="10" OR exec(store_ea_packdata)='1' THEN
715
                                                store_in_tmp <= '1';
716
                                        END IF;
717
                                        IF direct_data='1' AND state="00" THEN
718
                                                store_in_tmp <= '1';
719
                                        END IF;
720
                                END IF;
721
 
722
                                IF state="10" AND exec(hold_ea_data)='0' THEN
723
                                        ea_data <= data_read;
724
                                ELSIF exec(get_2ndOPC)='1' THEN
725
                                        ea_data <= addr;
726
                                ELSIF exec(store_ea_data)='1' OR (direct_data='1' AND state="00") THEN
727
                                        ea_data <= last_data_read;
728
                                END IF;
729
 
730
                                IF writePC='1' THEN
731
                                        data_write_tmp <= TG68_PC;
732
                                ELSIF exec(writePC_add)='1' THEN
733
                                        data_write_tmp <= TG68_PC_add;
734
                                ELSIF micro_state=trap0 THEN
735
                                        data_write_tmp(15 downto 0) <= trap_vector(15 downto 0);
736
                                ELSIF exec(hold_dwr)='1' THEN
737
                                        data_write_tmp <= data_write_tmp;
738
                                ELSIF exec(exg)='1' THEN
739
                                        data_write_tmp <= OP1out;
740
                                ELSIF exec(get_ea_now)='1' AND ea_only='1' THEN         -- ist for pea
741
                                        data_write_tmp <= addr;
742
                                ELSIF execOPC='1' THEN
743
                                        data_write_tmp <= ALUout;
744
                                ELSIF (exec_DIRECT='1' AND state="10") THEN
745
                                        data_write_tmp <= data_read;
746
                                        IF  exec(movepl)='1' THEN
747
                                                data_write_tmp(31 downto 8) <= data_write_tmp(23 downto 0);
748
                                        END IF;
749
                                ELSIF exec(movepl)='1' THEN
750
                                        data_write_tmp(15 downto 0) <= reg_QB(31 downto 16);
751
                                ELSIF direct_data='1' THEN
752
                                        data_write_tmp <= last_data_read;
753
                                ELSIF writeSR='1'THEN
754
                                        data_write_tmp(15 downto 0) <= trap_SR(7 downto 0)& Flags(7 downto 0);
755
                                ELSE
756
                                        data_write_tmp <= OP2out;
757
                                END IF;
758
                        END IF;
759
                END IF;
760
        END PROCESS;
761
 
762
-----------------------------------------------------------------------------
763
-- brief
764
-----------------------------------------------------------------------------
765
PROCESS (brief, OP1out, OP1outbrief, cpu)
766
        BEGIN
767
                IF brief(11)='1' THEN
768
                        OP1outbrief <= OP1out(31 downto 16);
769
                ELSE
770
                        OP1outbrief <= (OTHERS=>OP1out(15));
771
                END IF;
772
                briefdata <= OP1outbrief&OP1out(15 downto 0);
773
                IF extAddr_Mode=1 OR (cpu(1)='1' AND extAddr_Mode=2) THEN
774
                        CASE brief(10 downto 9) IS
775
                                WHEN "00" => briefdata <= OP1outbrief&OP1out(15 downto 0);
776
                                WHEN "01" => briefdata <= OP1outbrief(14 downto 0)&OP1out(15 downto 0)&'0';
777
                                WHEN "10" => briefdata <= OP1outbrief(13 downto 0)&OP1out(15 downto 0)&"00";
778
                                WHEN "11" => briefdata <= OP1outbrief(12 downto 0)&OP1out(15 downto 0)&"000";
779
                                WHEN OTHERS => NULL;
780
                        END CASE;
781
                END IF;
782
        END PROCESS;
783
 
784
-----------------------------------------------------------------------------
785
-- MEM_IO 
786
-----------------------------------------------------------------------------
787
PROCESS (clk, setdisp, memaddr_a, briefdata, memaddr_delta, setdispbyte, datatype, interrupt, rIPL_nr, IPL_vec,
788
         memaddr_reg, reg_QA, use_base, VBR, last_data_read, trap_vector, exec, set, cpu)
789
        BEGIN
790
 
791
                IF rising_edge(clk) THEN
792
                        IF clkena_lw='1' THEN
793
                                trap_vector(31 downto 10) <= (others => '0');
794
                                IF trap_berr='1' THEN
795
                                        trap_vector(9 downto 0) <= "00" & X"08";
796
                                END IF;
797
                                IF trap_addr_error='1' THEN
798
                                        trap_vector(9 downto 0) <= "00" & X"0C";
799
                                END IF;
800
                                IF trap_illegal='1' THEN
801
                                        trap_vector(9 downto 0) <= "00" & X"10";
802
                                END IF;
803
                                IF z_error='1' THEN
804
                                        trap_vector(9 downto 0) <= "00" & X"14";
805
                                END IF;
806
                                IF exec(trap_chk)='1' THEN
807
                                        trap_vector(9 downto 0) <= "00" & X"18";
808
                                END IF;
809
                                IF trap_trapv='1' THEN
810
                                        trap_vector(9 downto 0) <= "00" & X"1C";
811
                                END IF;
812
                                IF trap_priv='1' THEN
813
                                        trap_vector(9 downto 0) <= "00" & X"20";
814
                                END IF;
815
                                IF trap_trace='1' THEN
816
                                        trap_vector(9 downto 0) <= "00" & X"24";
817
                                END IF;
818
                                IF trap_1010='1' THEN
819
                                        trap_vector(9 downto 0) <= "00" & X"28";
820
                                END IF;
821
                                IF trap_1111='1' THEN
822
                                        trap_vector(9 downto 0) <= "00" & X"2C";
823
                                END IF;
824
                                IF trap_trap='1' THEN
825
                                        trap_vector(9 downto 0) <= "0010" & opcode(3 downto 0) & "00";
826
                                END IF;
827
                                IF trap_interrupt='1' or set_vectoraddr = '1' THEN
828
                                        trap_vector(9 downto 0) <= IPL_vec & "00";      --TH
829
                                END IF;
830
                        END IF;
831
                END IF;
832
                IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
833
                        trap_vector_vbr <= trap_vector;
834
                ELSE
835
                        trap_vector_vbr <= trap_vector+VBR;
836
                END IF;
837
 
838
                memaddr_a(4 downto 0) <= "00000";
839
                memaddr_a(7 downto 5) <= (OTHERS=>memaddr_a(4));
840
                memaddr_a(15 downto 8) <= (OTHERS=>memaddr_a(7));
841
                memaddr_a(31 downto 16) <= (OTHERS=>memaddr_a(15));
842
                IF setdisp='1' THEN
843
                        IF exec(briefext)='1' THEN
844
                                memaddr_a <= briefdata+memaddr_delta;
845
                        ELSIF setdispbyte='1' THEN
846
                                memaddr_a(7 downto 0) <= last_data_read(7 downto 0);
847
                        ELSE
848
                                memaddr_a <= last_data_read;
849
                        END IF;
850
                ELSIF set(presub)='1' THEN
851
                        IF set(longaktion)='1' THEN
852
                                memaddr_a(4 downto 0) <= "11100";
853
                        ELSIF datatype="00" AND set(use_SP)='0' THEN
854
                                memaddr_a(4 downto 0) <= "11111";
855
                        ELSE
856
                                memaddr_a(4 downto 0) <= "11110";
857
                        END IF;
858
                ELSIF interrupt='1' THEN
859
                        memaddr_a(4 downto 0) <= '1'&rIPL_nr&'0';
860
                END IF;
861
 
862
                IF rising_edge(clk) THEN
863
                        IF clkena_in='1' THEN
864
                                IF exec(get_2ndOPC)='1' OR (state="10" AND memread(0)='1') THEN
865
                                        tmp_TG68_PC <= addr;
866
                                END IF;
867
                                use_base <= '0';
868
                                IF memmaskmux(3)='0' OR exec(mem_addsub)='1' THEN
869
                                        memaddr_delta <= addsub_q;
870
                                ELSIF state="01" AND exec_write_back='1' THEN
871
                                        memaddr_delta <= tmp_TG68_PC;
872
                                ELSIF exec(direct_delta)='1' THEN
873
                                        memaddr_delta <= data_read;
874
                                ELSIF exec(ea_to_pc)='1' AND setstate="00" THEN
875
                                        memaddr_delta <= addr;
876
                                ELSIF set(addrlong)='1' THEN
877
                                        memaddr_delta <= last_data_read;
878
                                ELSIF setstate="00" THEN
879
                                        memaddr_delta <= TG68_PC_add;
880
                                ELSIF exec(dispouter)='1' THEN
881
                                        memaddr_delta <= ea_data+memaddr_a;
882
                                ELSIF set_vectoraddr='1' THEN
883
                                        memaddr_delta <= trap_vector_vbr;
884
                                ELSE
885
                                        memaddr_delta <= memaddr_a;
886
                                        IF interrupt='0' AND Suppress_Base='0' THEN
887
--                                      IF interrupt='0' AND Suppress_Base='0' AND setstate(1)='1' THEN
888
                                                use_base <= '1';
889
                                        END IF;
890
                                END IF;
891
 
892
                -- only used for movem address update
893
--                                      IF (long_done='0' AND state(1)='1') OR movem_presub='0' THEN
894
                                        if ((memread(0) = '1') and state(1) = '1') or movem_presub = '0' then -- fix for unaligned movem mikej
895
                                                memaddr <= addr;
896
                                        END IF;
897
                        END IF;
898
                END IF;
899
 
900
                -- if access done, and not aligned, don't increment
901
                addr <= memaddr_reg+memaddr_delta;
902 4 tobiflex
                addr_out <= memaddr_reg + memaddr_delta;
903
 
904 2 tobiflex
                IF use_base='0' THEN
905
                        memaddr_reg <= (others=>'0');
906
                ELSE
907
                        memaddr_reg <= reg_QA;
908
                END IF;
909
    END PROCESS;
910
 
911
-----------------------------------------------------------------------------
912
-- PC Calc + fetch opcode
913
-----------------------------------------------------------------------------
914
PROCESS (clk, IPL, setstate, state, exec_write_back, set_direct_data, next_micro_state, stop, make_trace, make_berr, IPL_nr, FlagsSR, set_rot_cnt, opcode, writePCbig, set_exec, exec,
915 4 tobiflex
        PC_dataa, PC_datab, setnextpass, last_data_read, TG68_PC_brw, TG68_PC_word, Z_error, trap_trap, trap_trapv, interrupt, tmp_TG68_PC, TG68_PC)
916 2 tobiflex
        BEGIN
917
 
918
                PC_dataa <= TG68_PC;
919
                IF TG68_PC_brw = '1' THEN
920
                        PC_dataa <= tmp_TG68_PC;
921
                END IF;
922
 
923
                PC_datab(2 downto 0) <= (others => '0');
924
                PC_datab(3) <= PC_datab(2);
925
                PC_datab(7 downto 4) <= (others => PC_datab(3));
926
                PC_datab(15 downto 8) <= (others => PC_datab(7));
927
                PC_datab(31 downto 16) <= (others => PC_datab(15));
928
                IF interrupt='1' THEN
929
                        PC_datab(2 downto 1) <= "11";
930
                END IF;
931
                IF exec(writePC_add) ='1' THEN
932
                        IF writePCbig='1' THEN
933
                                PC_datab(3) <= '1';
934
                                PC_datab(1) <= '1';
935
                        ELSE
936
                                PC_datab(2) <= '1';
937
                        END IF;
938
                        IF trap_trap='1' OR trap_trapv='1' OR exec(trap_chk)='1' OR Z_error='1' THEN
939
                                PC_datab(1) <= '1';
940
                        END IF;
941
                ELSIF state="00" THEN
942
                        PC_datab(1) <= '1';
943
                END IF;
944
                IF TG68_PC_brw = '1' THEN
945
                        IF TG68_PC_word='1' THEN
946
                                PC_datab <= last_data_read;
947
                        ELSE
948
                                PC_datab(7 downto 0) <= opcode(7 downto 0);
949
                        END IF;
950
                END IF;
951
 
952
                TG68_PC_add <= PC_dataa+PC_datab;
953
 
954
                setopcode <= '0';
955
                setendOPC <= '0';
956
                setinterrupt <= '0';
957
                IF setstate="00" AND next_micro_state=idle AND setnextpass='0' AND (exec_write_back='0' OR state="11") AND set_rot_cnt="000001" AND set_exec(opcCHK)='0'THEN
958
                        setendOPC <= '1';
959
                        IF FlagsSR(2 downto 0)<IPL_nr OR IPL_nr="111"  OR make_trace='1' OR make_berr='1' THEN
960
                                setinterrupt <= '1';
961
                        ELSIF stop='0' THEN
962
                                setopcode <= '1';
963
                        END IF;
964
                END IF;
965
                setexecOPC <= '0';
966
                IF setstate="00" AND next_micro_state=idle AND set_direct_data='0' AND (exec_write_back='0' OR state="10") THEN
967
                        setexecOPC <= '1';
968
                END IF;
969
 
970
                IPL_nr <= NOT IPL;
971
                IF rising_edge(clk) THEN
972 4 tobiflex
                        IF Reset = '1' THEN
973 2 tobiflex
                                state <= "01";
974
                                opcode <= X"2E79";                                      --move $0,a7
975
                                trap_interrupt <= '0';
976
                                interrupt <= '0';
977
                                last_opc_read  <= X"4EF9";                      --jmp nn.l
978
                                TG68_PC <= X"00000004";
979
                                decodeOPC <= '0';
980
                                endOPC <= '0';
981
                                TG68_PC_word <= '0';
982
                                execOPC <= '0';
983
                                stop <= '0';
984
                                rot_cnt <="000001";
985
--                              byte <= '0';
986
--                              IPL_nr <= "000";
987
                                trap_trace <= '0';
988
                                trap_berr <= '0';
989
                                writePCbig <= '0';
990
--                              recall_last <= '0';
991
                                Suppress_Base <= '0';
992
                                make_berr <= '0';
993
                                memmask <= "111111";
994
                        ELSE
995
--                              IPL_nr <= NOT IPL;
996
                                IF clkena_in='1' THEN
997
                                        memmask <= memmask(3 downto 0)&"11";
998
                                        memread <= memread(1 downto 0)&memmaskmux(5 downto 4);
999
--                                      IF wbmemmask(5 downto 4)="11" THEN      
1000
--                                              wbmemmask <= memmask;
1001
--                                      END IF;
1002
                                        IF exec(directPC)='1' THEN
1003
                                                TG68_PC <= data_read;
1004
                                        ELSIF exec(ea_to_pc)='1' THEN
1005
                                                TG68_PC <= addr;
1006
                                        ELSIF (state ="00" OR TG68_PC_brw = '1') AND stop='0'  THEN
1007
                                                TG68_PC <= TG68_PC_add;
1008
                                        END IF;
1009
                                END IF;
1010
                                IF clkena_lw='1' THEN
1011
                                        interrupt <= setinterrupt;
1012
                                        decodeOPC <= setopcode;
1013
                                        endOPC <= setendOPC;
1014
                                        execOPC <= setexecOPC;
1015
 
1016
                                        exe_datatype <= set_datatype;
1017
                                        exe_opcode <= opcode;
1018
 
1019
                                        if(trap_berr='0') then
1020
                                                make_berr <= (berr OR make_berr);
1021
                                        else
1022
                                                make_berr <= '0';
1023
                                        end if;
1024
 
1025
                                        stop <= set_stop OR (stop AND NOT setinterrupt);
1026
                                        IF setinterrupt='1' THEN
1027
                                                trap_interrupt <= '0';
1028
                                                trap_trace <= '0';
1029
--                                              TG68_PC_word <= '0';
1030
                                                make_berr <= '0';
1031
                                                trap_berr <= '0';
1032
                                                IF make_trace='1' THEN
1033
                                                        trap_trace <= '1';
1034
                                                ELSIF make_berr='1' THEN
1035
                                                        trap_berr <= '1';
1036
                                                ELSE
1037
                                                        rIPL_nr <= IPL_nr;
1038
                                                        IPL_vec <= "00011"&IPL_nr;            --        TH              
1039
                                                        trap_interrupt <= '1';
1040
                                                END IF;
1041
                                        END IF;
1042
                                        IF micro_state=trap0 AND IPL_autovector='0' THEN
1043
                                                IPL_vec <= last_data_read(7 downto 0);    --     TH
1044
                                        END IF;
1045
                                        IF state="00" THEN
1046
                                                last_opc_read <= data_read(15 downto 0);
1047
                                        END IF;
1048
                                        IF setopcode='1' THEN
1049
                                                trap_interrupt <= '0';
1050
                                                trap_trace <= '0';
1051
                                                TG68_PC_word <= '0';
1052
                                                trap_berr <= '0';
1053
                                        ELSIF opcode(7 downto 0)="00000000" OR opcode(7 downto 0)="11111111" OR data_is_source='1' THEN
1054
                                                TG68_PC_word <= '1';
1055
                                        END IF;
1056
 
1057
                                        IF exec(get_bfoffset)='1' THEN
1058
                                                alu_width <= bf_width;
1059
                                                alu_bf_shift <= bf_shift;
1060
                                                alu_bf_loffset <= bf_loffset;
1061
                                                alu_bf_ffo_offset <= bf_full_offset+bf_width+1;
1062
--                                      ELSIF set_exec(exec_BS)='1' THEN
1063
--                                      
1064
----                                                    IF set_exec(exec_BS)='1' THEN
1065
----                                                            alu_width<="001111";
1066
----                                                            alu_bf_loffset <= "000000";
1067
----                                                    END IF;
1068
--                                      
1069
----                                            alu_bf_shift <= set_rot_cnt;
1070
--                                                      IF opcode(5)='1' THEN
1071
----                                                            next_micro_state <= rota1;
1072
----                                                            set(ld_rot_cnt) <= '1';
1073
----                                                            setstate <= "01";
1074
--                                                              alu_bf_shift <= OP2out(5 downto 0);
1075
--                                                      ELSE
1076
--                                                              alu_bf_shift(2 downto 0) <= opcode(11 downto 9);
1077
--                                                              IF opcode(11 downto 9)="000" THEN
1078
--                                                                      alu_bf_shift(5 downto 3) <="001";
1079
--                                                              ELSE
1080
--                                                                      alu_bf_shift(5 downto 3) <="000";
1081
--                                                              END IF;
1082
--                                                      END IF;
1083
                                        END IF;
1084
--                                      byte <= '0';
1085
                                        memread <= "1111";
1086
                                        FC(1) <= NOT setstate(1) OR (PCbase AND NOT setstate(0));
1087
                                        FC(0) <= setstate(1) AND (NOT PCbase OR setstate(0));
1088
                                        IF interrupt='1' THEN
1089
                                                FC(1 downto 0) <= "11";
1090
                                        END IF;
1091
                                        IF (state="10" AND write_back='1' AND setstate/="10") OR set_rot_cnt/="000001" OR (stop='1' AND interrupt='0') OR set_exec(opcCHK)='1' THEN
1092
                                                state <= "01";
1093
                                                memmask <= "111111";
1094
                                        ELSIF execOPC='1' AND exec_write_back='1' THEN
1095
                                                state <= "11";
1096
                                                FC(1 downto 0) <= "01";
1097
                                                memmask <= wbmemmask;
1098
--                                              IF datatype="00" THEN
1099
--                                                      byte <= '1';
1100
--                                              END IF;
1101
                                        ELSE
1102
                                                state <= setstate;
1103
                                                IF setstate="01" THEN
1104
                                                        memmask <= "111111";
1105
                                                        wbmemmask <= "111111";
1106
                                                ELSIF exec(get_bfoffset)='1' THEN
1107
                                                        memmask <= set_memmask;
1108
                                                        wbmemmask <= set_memmask;
1109
                                                        oddout <= set_oddout;
1110
                                                ELSIF set(longaktion)='1' THEN
1111
                                                        memmask <= "100001";
1112
                                                        wbmemmask <= "100001";
1113
                                                        oddout <= '0';
1114
                                                ELSIF set_datatype="00" AND setstate(1)='1' THEN
1115
                                                        memmask <= "101111";
1116
                                                        wbmemmask <= "101111";
1117
                                                        IF set(mem_byte)='1' THEN
1118
                                                                oddout <= '0';
1119
                                                        ELSE
1120
                                                                oddout <= '1';
1121
                                                        END IF;
1122
                                                ELSE
1123
                                                        memmask <= "100111";
1124
                                                        wbmemmask <= "100111";
1125
                                                        oddout <= '0';
1126
                                                END IF;
1127
                                        END IF;
1128
 
1129
                                        IF decodeOPC='1' THEN
1130
                                                rot_bits <= set_rot_bits;
1131
                                                writePCbig <= '0';
1132
                                        ELSE
1133
                                                writePCbig <= set_writePCbig OR writePCbig;
1134
                                        END IF;
1135
                                        IF decodeOPC='1' OR exec(ld_rot_cnt)='1' OR rot_cnt/="000001" THEN
1136
                                                rot_cnt <= set_rot_cnt;
1137
                                        END IF;
1138
--                                      IF setstate(1)='1' AND set_datatype="00" THEN
1139
--                                              byte <= '1';
1140
--                                      END IF;
1141
 
1142
                                        IF set_Suppress_Base='1' THEN
1143
                                                Suppress_Base <= '1';
1144
                                        ELSIF setstate(1)='1' OR (ea_only='1' AND set(get_ea_now)='1') THEN
1145
                                                Suppress_Base <= '0';
1146
                                        END IF;
1147
                                        IF getbrief='1' THEN
1148
                                                IF state(1)='1' THEN
1149
                                                        brief <= last_opc_read(15 downto 0);
1150
                                                ELSE
1151
                                                        brief <= data_read(15 downto 0);
1152
                                                END IF;
1153
                                        END IF;
1154
 
1155
                                        IF setopcode='1' AND berr='0' THEN
1156
                                                IF state="00" THEN
1157
                                                        opcode <= data_read(15 downto 0);
1158
                                                ELSE
1159
                                                        opcode <= last_opc_read(15 downto 0);
1160
                                                END IF;
1161
                                                nextpass <= '0';
1162
                                        ELSIF setinterrupt='1' OR setopcode='1' THEN
1163
                                                opcode <= X"4E71";              --nop
1164
                                                nextpass <= '0';
1165
                                        ELSE
1166
--                                              IF setnextpass='1' OR (regdirectsource='1' AND state="00") THEN
1167
                                                IF setnextpass='1' OR regdirectsource='1' THEN
1168
                                                        nextpass <= '1';
1169
                                                END IF;
1170
                                        END IF;
1171
 
1172
                                        IF decodeOPC='1' OR interrupt='1' THEN
1173
                                                trap_SR <= FlagsSR;
1174
                                        END IF;
1175
                                END IF;
1176
                        END IF;
1177
                END IF;
1178
 
1179
                IF rising_edge(clk) THEN
1180
                IF Reset = '1' THEN
1181
                                PCbase <= '1';
1182
                        ELSIF clkena_lw='1' THEN
1183
                                PCbase <= set_PCbase OR PCbase;
1184
                                IF setexecOPC='1' OR (state(1)='1' AND movem_run='0') THEN
1185
                                        PCbase <= '0';
1186
                                END IF;
1187
                        END IF;
1188
                        IF clkena_lw='1' THEN
1189
                                exec <= set;
1190
                                exec_tas <= '0';
1191
                                exec(subidx) <= set(presub) or set(subidx);
1192
                                IF setexecOPC='1' THEN
1193
                                        exec <= set_exec OR set;
1194
                                        exec_tas <= set_exec_tas;
1195
                                END IF;
1196
                                exec(get_2ndOPC) <= set(get_2ndOPC) OR setopcode;
1197
                        END IF;
1198
                END IF;
1199
        END PROCESS;
1200
 
1201
------------------------------------------------------------------------------
1202
--prepare Bitfield Parameters
1203
------------------------------------------------------------------------------          
1204
PROCESS (clk, Reset, sndOPC, reg_QA, reg_QB, bf_width, bf_offset, bf_bhits, opcode, setstate, bf_shift)
1205
        BEGIN
1206
                IF sndOPC(11)='1' THEN
1207
                        bf_offset <= '0'&reg_QA(4 downto 0);
1208
                ELSE
1209
                        bf_offset <= '0'&sndOPC(10 downto 6);
1210
                END IF;
1211
                IF sndOPC(11)='1' THEN
1212
                        bf_full_offset <= reg_QA;
1213
                ELSE
1214
                        bf_full_offset <= (others => '0');
1215
                        bf_full_offset(4 downto 0) <= sndOPC(10 downto 6);
1216
                END IF;
1217
 
1218
                bf_width(5) <= '0';
1219
                IF sndOPC(5)='1' THEN
1220
                        bf_width(4 downto 0) <= reg_QB(4 downto 0)-1;
1221
                ELSE
1222
                        bf_width(4 downto 0) <= sndOPC(4 downto 0)-1;
1223
                END IF;
1224
                bf_bhits <= bf_width+bf_offset;
1225
                set_oddout <= NOT bf_bhits(3);
1226
 
1227 4 tobiflex
 
1228
-- bf_loffset is used for the shifted_bitmask
1229 2 tobiflex
                IF opcode(10 downto 8)="111" THEN --INS
1230
                        bf_loffset <= 32-bf_shift;
1231
                ELSE
1232
                        bf_loffset <= bf_shift;
1233
                END IF;
1234
                bf_loffset(5) <= '0';
1235
 
1236
                IF opcode(4 downto 3)="00" THEN
1237
                        IF opcode(10 downto 8)="111" THEN --INS
1238
                                bf_shift <= bf_bhits+1;
1239
                        ELSE
1240
                                bf_shift <= 31-bf_bhits;
1241
                        END IF;
1242
                        bf_shift(5) <= '0';
1243
                ELSE
1244 4 tobiflex
                        IF opcode(10 downto 8)="111" THEN --INS
1245
                                bf_shift <= "011001"+("000"&bf_bhits(2 downto 0));
1246
                                bf_shift(5) <= '0';
1247 2 tobiflex
                        ELSE
1248
                                bf_shift <= "000"&("111"-bf_bhits(2 downto 0));
1249
                        END IF;
1250
                        bf_offset(4 downto 3) <= "00";
1251
                END IF;
1252 4 tobiflex
 
1253
                CASE bf_bhits(5 downto 3) IS
1254
                        WHEN "000" =>
1255
                                set_memmask <= "101111";
1256
                        WHEN "001" =>
1257 2 tobiflex
                                set_memmask <= "100111";
1258 4 tobiflex
                        WHEN "010" =>
1259
                                set_memmask <= "100011";
1260
                        WHEN "011" =>
1261
                                set_memmask <= "100001";
1262
                        WHEN OTHERS =>
1263
                                set_memmask <= "100000";
1264
                END CASE;
1265
                IF setstate="00" THEN
1266
                        set_memmask <= "100111";
1267
                END IF;
1268 2 tobiflex
        END PROCESS;
1269
 
1270
------------------------------------------------------------------------------
1271
--SR op
1272
------------------------------------------------------------------------------          
1273
PROCESS (clk, Reset, FlagsSR, last_data_read, OP2out, exec)
1274
        BEGIN
1275
                IF exec(andiSR)='1' THEN
1276
                        SRin <= FlagsSR AND last_data_read(15 downto 8);
1277
                ELSIF exec(eoriSR)='1' THEN
1278
                        SRin <= FlagsSR XOR last_data_read(15 downto 8);
1279
                ELSIF exec(oriSR)='1' THEN
1280
                        SRin <= FlagsSR OR last_data_read(15 downto 8);
1281
                ELSE
1282
                        SRin <= OP2out(15 downto 8);
1283
                END IF;
1284
 
1285
                IF rising_edge(clk) THEN
1286 4 tobiflex
                        IF Reset='1' THEN
1287 2 tobiflex
                                FlagsSR(5) <= '1';
1288
                                FC(2) <= '1';
1289
                                SVmode <= '1';
1290
                                preSVmode <= '1';
1291 4 tobiflex
                                FlagsSR(3 downto 0) <= "0111";
1292 2 tobiflex
                                make_trace <= '0';
1293
                        ELSIF clkena_lw = '1' THEN
1294
                                IF setopcode='1' THEN
1295
                                        make_trace <= FlagsSR(7);
1296
                                        IF set(changeMode)='1' THEN
1297
                                                SVmode <= NOT SVmode;
1298
                                        ELSE
1299
                                                SVmode <= preSVmode;
1300
                                        END IF;
1301
                                END IF;
1302
                                IF set(changeMode)='1' THEN
1303
                                        preSVmode <= NOT preSVmode;
1304
                                        FlagsSR(5) <= NOT preSVmode;
1305
                                        FC(2) <= NOT preSVmode;
1306
                                END IF;
1307
                                IF micro_state=trap3 THEN
1308
                                        FlagsSR(7) <= '0';
1309
                                END IF;
1310
                                IF trap_trace='1' AND state="10" THEN
1311
                                        make_trace <= '0';
1312
                                END IF;
1313
                                IF exec(directSR)='1' OR set_stop='1' THEN
1314
                                        FlagsSR <= data_read(15 downto 8);
1315
                                END IF;
1316
                                IF interrupt='1' AND trap_interrupt='1' THEN
1317
                                        FlagsSR(2 downto 0) <=rIPL_nr;
1318
                                END IF;
1319
                                IF exec(to_SR)='1' THEN
1320
                                        FlagsSR(7 downto 0) <= SRin;     --SR
1321
                                        FC(2) <= SRin(5);
1322
                                ELSIF exec(update_FC)='1' THEN
1323
                                        FC(2) <= FlagsSR(5);
1324
                                END IF;
1325
                                IF interrupt='1' THEN
1326
                                        FC(2) <= '1';
1327
                                END IF;
1328 4 tobiflex
                                FlagsSR(3) <= '0';
1329 2 tobiflex
                        END IF;
1330
                END IF;
1331
        END PROCESS;
1332
 
1333
-----------------------------------------------------------------------------
1334
-- decode opcode
1335
-----------------------------------------------------------------------------
1336
PROCESS (clk, cpu, OP1out, OP2out, opcode, exe_condition, nextpass, micro_state, decodeOPC, state, setexecOPC, Flags, FlagsSR, direct_data, build_logical,
1337
                 build_bcd, set_Z_error, trapd, movem_run, last_data_read, set, set_V_Flag, z_error, trap_trace, trap_interrupt,
1338
                 SVmode, preSVmode, stop, long_done, ea_only, setstate, execOPC, exec_write_back, exe_datatype,
1339
                 datatype, interrupt, c_out, trapmake, rot_cnt, brief, addr,
1340
                 long_start, set_datatype, sndOPC, set_exec, exec, ea_build_now, reg_QA, reg_QB, make_berr, trap_berr)
1341
        BEGIN
1342
                TG68_PC_brw <= '0';
1343
                setstate <= "00";
1344
                Regwrena_now <= '0';
1345
                movem_presub <= '0';
1346
                setnextpass <= '0';
1347
                regdirectsource <= '0';
1348
                setdisp <= '0';
1349
                setdispbyte <= '0';
1350
                getbrief <= '0';
1351
                dest_areg <= '0';
1352
                source_areg <= '0';
1353
                data_is_source <= '0';
1354
                write_back <= '0';
1355
                setstackaddr <= '0';
1356
                writePC <= '0';
1357
                ea_build_now <= '0';
1358
--              set_rot_bits <= "00";
1359
                set_rot_bits <= opcode(4 downto 3);
1360
                set_rot_cnt <= "000001";
1361
                dest_hbits <= '0';
1362
                source_lowbits <= '0';
1363
                source_2ndHbits <= '0';
1364
                source_2ndLbits <= '0';
1365
                dest_2ndHbits <= '0';
1366
                ea_only <= '0';
1367
                set_direct_data <= '0';
1368
                set_exec_tas <= '0';
1369
                trap_illegal <='0';
1370
                trap_addr_error <= '0';
1371
                trap_priv <='0';
1372
                trap_1010 <='0';
1373
                trap_1111 <='0';
1374
                trap_trap <='0';
1375
                trap_trapv <= '0';
1376
                trapmake <='0';
1377
                set_vectoraddr <='0';
1378
                writeSR <= '0';
1379
                set_stop <= '0';
1380
--              illegal_write_mode <= '0';
1381
--              illegal_read_mode <= '0';
1382
--              illegal_byteaddr <= '0';
1383
                set_Z_error <= '0';
1384
 
1385
                next_micro_state <= idle;
1386
                build_logical <= '0';
1387
                build_bcd <= '0';
1388
                skipFetch <= make_berr;
1389
                set_writePCbig <= '0';
1390
--              set_recall_last <= '0';
1391
                set_Suppress_Base <= '0';
1392
                set_PCbase <= '0';
1393
 
1394
                IF rot_cnt/="000001" THEN
1395
                        set_rot_cnt <= rot_cnt-1;
1396
                END IF;
1397
                set_datatype <= datatype;
1398
 
1399
                set <= (OTHERS=>'0');
1400
                set_exec <= (OTHERS=>'0');
1401
                set(update_ld) <= '0';
1402
--              odd_start <= '0';
1403
------------------------------------------------------------------------------
1404
--Sourcepass
1405
------------------------------------------------------------------------------          
1406
                CASE opcode(7 downto 6) IS
1407
                        WHEN "00" => datatype <= "00";          --Byte
1408
                        WHEN "01" => datatype <= "01";          --Word
1409
                        WHEN OTHERS => datatype <= "10";        --Long
1410
                END CASE;
1411
 
1412
                IF trapmake='1' AND trapd='0' THEN
1413
                        next_micro_state <= trap0;
1414
                        IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
1415
                                set(writePC_add) <= '1';
1416
--                              set_datatype <= "10";
1417
                        END IF;
1418
                        IF preSVmode='0' THEN
1419
                                set(changeMode) <= '1';
1420
                        END IF;
1421
                        setstate <= "01";
1422
                END IF;
1423
                IF interrupt='1' AND trap_berr='1' THEN
1424
                        next_micro_state <= trap0;
1425
                        IF preSVmode='0' THEN
1426
                                set(changeMode) <= '1';
1427
                        END IF;
1428
                        setstate <= "01";
1429
                END IF;
1430
                IF micro_state=int1 OR (interrupt='1' AND trap_trace='1') THEN
1431
                        next_micro_state <= trap0;
1432
--                      IF cpu(0)='0' THEN
1433
--                              set_datatype <= "10";
1434
--                      END IF;
1435
                        IF preSVmode='0' THEN
1436
                                set(changeMode) <= '1';
1437
                        END IF;
1438
                        setstate <= "01";
1439
                END IF;
1440
 
1441
                IF setexecOPC='1' AND FlagsSR(5)/=preSVmode THEN
1442
                        set(changeMode) <= '1';
1443
--                      setstate <= "01";
1444
--                      next_micro_state <= nop;
1445
                END IF;
1446
 
1447
                IF interrupt='1' AND trap_interrupt='1'THEN
1448
--                      skipFetch <= '1';
1449
                        next_micro_state <= int1;
1450
                        set(update_ld) <= '1';
1451
                        setstate <= "10";
1452
                END IF;
1453
 
1454
                IF set(changeMode)='1' THEN
1455
                        set(to_USP) <= '1';
1456
                        set(from_USP) <= '1';
1457
                        setstackaddr <='1';
1458
                END IF;
1459
 
1460
                IF ea_only='0' AND set(get_ea_now)='1' THEN
1461
                        setstate <= "10";
1462
--                      set_recall_last <= '1';
1463
--                      set(update_ld) <= '0';
1464
                END IF;
1465
 
1466
                IF setstate(1)='1' AND set_datatype(1)='1' THEN
1467
                        set(longaktion) <= '1';
1468
                END IF;
1469
 
1470
                IF (ea_build_now='1' AND decodeOPC='1') OR exec(ea_build)='1' THEN
1471
                        CASE opcode(5 downto 3) IS              --source
1472
                                WHEN "010"|"011"|"100" =>                                               -- -(An)+
1473
                                        set(get_ea_now) <='1';
1474
                                        setnextpass <= '1';
1475
                                        IF opcode(3)='1' THEN   --(An)+
1476
                                                set(postadd) <= '1';
1477
                                                IF opcode(2 downto 0)="111" THEN
1478
                                                        set(use_SP) <= '1';
1479
                                                END IF;
1480
                                        END IF;
1481
                                        IF opcode(5)='1' THEN   -- -(An)
1482
                                                set(presub) <= '1';
1483
                                                IF opcode(2 downto 0)="111" THEN
1484
                                                        set(use_SP) <= '1';
1485
                                                END IF;
1486
                                        END IF;
1487
                                WHEN "101" =>                           --(d16,An)
1488
                                        next_micro_state <= ld_dAn1;
1489
                                WHEN "110" =>                           --(d8,An,Xn)
1490
                                        next_micro_state <= ld_AnXn1;
1491
                                        getbrief <='1';
1492
                                WHEN "111" =>
1493
                                        CASE opcode(2 downto 0) IS
1494
                                                WHEN "000" =>                           --(xxxx).w
1495
                                                        next_micro_state <= ld_nn;
1496
                                                WHEN "001" =>                           --(xxxx).l
1497
                                                        set(longaktion) <= '1';
1498
                                                        next_micro_state <= ld_nn;
1499
                                                WHEN "010" =>                           --(d16,PC)
1500
                                                        next_micro_state <= ld_dAn1;
1501
                                                        set(dispouter) <= '1';
1502
                                                        set_Suppress_Base <= '1';
1503
                                                        set_PCbase <= '1';
1504
                                                WHEN "011" =>                           --(d8,PC,Xn)
1505
                                                        next_micro_state <= ld_AnXn1;
1506
                                                        getbrief <= '1';
1507
                                                        set(dispouter) <= '1';
1508
                                                        set_Suppress_Base <= '1';
1509
                                                        set_PCbase <= '1';
1510
                                                WHEN "100" =>                           --#data
1511
                                                        setnextpass <= '1';
1512
                                                        set_direct_data <= '1';
1513
                                                        IF datatype="10" THEN
1514
                                                                set(longaktion) <= '1';
1515
                                                        END IF;
1516
                                                WHEN OTHERS => NULL;
1517
                                        END CASE;
1518
                                WHEN OTHERS => NULL;
1519
                        END CASE;
1520
                END IF;
1521
------------------------------------------------------------------------------
1522
--prepere opcode
1523
------------------------------------------------------------------------------          
1524
                CASE opcode(15 downto 12) IS
1525
-- 0000 ----------------------------------------------------------------------------            
1526
                        WHEN "0000" =>
1527
                        IF opcode(8)='1' AND opcode(5 downto 3)="001" THEN --movep
1528
                                datatype <= "00";                               --Byte
1529
                                set(use_SP) <= '1';             --addr+2
1530
                                set(no_Flags) <='1';
1531
                                IF opcode(7)='0' THEN  --to register
1532
                                        set_exec(Regwrena) <= '1';
1533
                                        set_exec(opcMOVE) <= '1';
1534
                                        set(movepl) <= '1';
1535
                                END IF;
1536
                                IF decodeOPC='1' THEN
1537
                                        IF opcode(6)='1' THEN
1538
                                                set(movepl) <= '1';
1539
                                        END IF;
1540
                                        IF opcode(7)='0' THEN
1541
                                                set_direct_data <= '1';         -- to register
1542
                                        END IF;
1543
                                        next_micro_state <= movep1;
1544
                                END IF;
1545
                                IF setexecOPC='1' THEN
1546
                                        dest_hbits <='1';
1547
                                END IF;
1548
                        ELSE
1549
                                IF opcode(8)='1' OR opcode(11 downto 9)="100" THEN              --Bits
1550
                                        set_exec(opcBITS) <= '1';
1551
                                        set_exec(ea_data_OP1) <= '1';
1552
                                        IF opcode(7 downto 6)/="00" THEN
1553
                                                IF opcode(5 downto 4)="00" THEN
1554
                                                        set_exec(Regwrena) <= '1';
1555
                                                END IF;
1556
                                                write_back <= '1';
1557
                                        END IF;
1558
                                        IF opcode(5 downto 4)="00" THEN
1559
                                                datatype <= "10";                       --Long
1560
                                        ELSE
1561
                                                datatype <= "00";                       --Byte
1562
                                        END IF;
1563
                                        IF opcode(8)='0' THEN
1564
                                                IF decodeOPC='1' THEN
1565
                                                        next_micro_state <= nop;
1566
                                                        set(get_2ndOPC) <= '1';
1567
                                                        set(ea_build) <= '1';
1568
                                                END IF;
1569
                                        ELSE
1570
                                                ea_build_now <= '1';
1571
                                        END IF;
1572
                                ELSIF opcode(11 downto 9)="111" THEN            --MOVES not in 68000
1573
                                        trap_illegal <= '1';
1574
--                                      trap_addr_error <= '1';
1575
                                        trapmake <= '1';
1576
                                ELSE                                                            --andi, ...xxxi 
1577
                                        IF opcode(11 downto 9)="000" THEN       --ORI
1578
                                                set_exec(opcOR) <= '1';
1579
                                        END IF;
1580
                                        IF opcode(11 downto 9)="001" THEN       --ANDI
1581
                                                set_exec(opcAND) <= '1';
1582
                                        END IF;
1583
                                        IF opcode(11 downto 9)="010" OR opcode(11 downto 9)="011" THEN  --SUBI, ADDI
1584
                                                set_exec(opcADD) <= '1';
1585
                                        END IF;
1586
                                        IF opcode(11 downto 9)="101" THEN       --EORI
1587
                                                set_exec(opcEOR) <= '1';
1588
                                        END IF;
1589
                                        IF opcode(11 downto 9)="110" THEN       --CMPI
1590
                                                set_exec(opcCMP) <= '1';
1591
                                        END IF;
1592
                                        IF opcode(7)='0' AND opcode(5 downto 0)="111100" AND (set_exec(opcAND) OR set_exec(opcOR) OR set_exec(opcEOR))='1' THEN           --SR
1593
                                                IF decodeOPC='1' AND SVmode='0' AND opcode(6)='1' THEN  --SR
1594
                                                        trap_priv <= '1';
1595
                                                        trapmake <= '1';
1596
                                                ELSE
1597
                                                        set(no_Flags) <= '1';
1598
                                                        IF decodeOPC='1' THEN
1599
                                                                IF opcode(6)='1' THEN
1600
                                                                        set(to_SR) <= '1';
1601
                                                                END IF;
1602
                                                                set(to_CCR) <= '1';
1603
                                                                set(andiSR) <= set_exec(opcAND);
1604
                                                                set(eoriSR) <= set_exec(opcEOR);
1605
                                                                set(oriSR) <= set_exec(opcOR);
1606
                                                                setstate <= "01";
1607
                                                                next_micro_state <= nopnop;
1608
                                                        END IF;
1609
                                                END IF;
1610
                                        ELSE
1611
                                                IF decodeOPC='1' THEN
1612
                                                        next_micro_state <= andi;
1613
                                                        set(get_2ndOPC) <='1';
1614
                                                        set(ea_build) <= '1';
1615
                                                        set_direct_data <= '1';
1616
                                                        IF datatype="10" THEN
1617
                                                                set(longaktion) <= '1';
1618
                                                        END IF;
1619
                                                END IF;
1620
                                                IF opcode(5 downto 4)/="00" THEN
1621
                                                        set_exec(ea_data_OP1) <= '1';
1622
                                                END IF;
1623
                                                IF opcode(11 downto 9)/="110" THEN      --CMPI 
1624
                                                        IF opcode(5 downto 4)="00" THEN
1625
                                                                set_exec(Regwrena) <= '1';
1626
                                                        END IF;
1627
                                                        write_back <= '1';
1628
                                                END IF;
1629
                                                IF opcode(10 downto 9)="10" THEN        --CMPI, SUBI
1630
                                                        set(addsub) <= '1';
1631
                                                END IF;
1632
                                        END IF;
1633
                                END IF;
1634
                        END IF;
1635
 
1636
-- 0001, 0010, 0011 -----------------------------------------------------------------           
1637
                        WHEN "0001"|"0010"|"0011" =>                            --move.b, move.l, move.w
1638
                                set_exec(opcMOVE) <= '1';
1639
                                ea_build_now <= '1';
1640
                                IF opcode(8 downto 6)="001" THEN
1641
                                        set(no_Flags) <= '1';
1642
                                END IF;
1643
                                IF opcode(5 downto 4)="00" THEN --Dn, An
1644
                                        IF opcode(8 downto 7)="00" THEN
1645
                                                set_exec(Regwrena) <= '1';
1646
                                        END IF;
1647
                                END IF;
1648
                                CASE opcode(13 downto 12) IS
1649
                                        WHEN "01" => datatype <= "00";          --Byte
1650
                                        WHEN "10" => datatype <= "10";          --Long
1651
                                        WHEN OTHERS => datatype <= "01";        --Word
1652
                                END CASE;
1653
                                source_lowbits <= '1';                                  -- Dn=>  An=>
1654
                                IF opcode(3)='1' THEN
1655
                                        source_areg <= '1';
1656
                                END IF;
1657
 
1658
                                IF nextpass='1' OR opcode(5 downto 4)="00" THEN
1659
                                        dest_hbits <= '1';
1660
                                        IF opcode(8 downto 6)/="000" THEN
1661
                                                dest_areg <= '1';
1662
                                        END IF;
1663
                                END IF;
1664
--                              IF setstate="10" THEN
1665
--                                      set(update_ld) <= '0';
1666
--                              END IF;
1667
--
1668
                                IF micro_state=idle AND (nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1')) THEN
1669
                                        CASE opcode(8 downto 6) IS              --destination
1670
                                                WHEN "000"|"001" =>                                             --Dn,An
1671
                                                                set_exec(Regwrena) <= '1';
1672
                                                WHEN "010"|"011"|"100" =>                                       --destination -(an)+
1673
                                                        IF opcode(6)='1' THEN   --(An)+
1674
                                                                set(postadd) <= '1';
1675
                                                                IF opcode(11 downto 9)="111" THEN
1676
                                                                        set(use_SP) <= '1';
1677
                                                                END IF;
1678
                                                        END IF;
1679
                                                        IF opcode(8)='1' THEN   -- -(An)
1680
                                                                set(presub) <= '1';
1681
                                                                IF opcode(11 downto 9)="111" THEN
1682
                                                                        set(use_SP) <= '1';
1683
                                                                END IF;
1684
                                                        END IF;
1685
                                                        setstate <= "11";
1686
                                                        next_micro_state <= nop;
1687
                                                        IF nextpass='0' THEN
1688
                                                                set(write_reg) <= '1';
1689
                                                        END IF;
1690
                                                WHEN "101" =>                           --(d16,An)
1691
                                                        next_micro_state <= st_dAn1;
1692
--                                                      getbrief <= '1';
1693
                                                WHEN "110" =>                           --(d8,An,Xn)
1694
                                                        next_micro_state <= st_AnXn1;
1695
                                                        getbrief <= '1';
1696
                                                WHEN "111" =>
1697
                                                        CASE opcode(11 downto 9) IS
1698
                                                                WHEN "000" =>                           --(xxxx).w
1699
                                                                        next_micro_state <= st_nn;
1700
                                                                WHEN "001" =>                           --(xxxx).l
1701
                                                                        set(longaktion) <= '1';
1702
                                                                        next_micro_state <= st_nn;
1703
                                                                WHEN OTHERS => NULL;
1704
                                                        END CASE;
1705
                                                WHEN OTHERS => NULL;
1706
                                        END CASE;
1707
                                END IF;
1708
---- 0100 ----------------------------------------------------------------------------          
1709
                        WHEN "0100" =>                          --rts_group
1710
                                IF opcode(8)='1' THEN           --lea
1711
                                        IF opcode(6)='1' THEN           --lea
1712
                                                IF opcode(7)='1' THEN
1713
                                                        source_lowbits <= '1';
1714
--                                                      IF opcode(5 downto 3)="000" AND opcode(10)='0' THEN             --ext
1715
                                                        IF opcode(5 downto 4)="00" THEN         --extb.l
1716
                                                                set_exec(opcEXT) <= '1';
1717
                                                                set_exec(opcMOVE) <= '1';
1718
                                                                set_exec(Regwrena) <= '1';
1719
--                                                              IF opcode(6)='0' THEN
1720
--                                                                      datatype <= "01";               --WORD
1721
--                                                              END IF;
1722
                                                        ELSE
1723
                                                                source_areg <= '1';
1724
                                                                ea_only <= '1';
1725
                                                                set_exec(Regwrena) <= '1';
1726
                                                                set_exec(opcMOVE) <='1';
1727
                                                                set(no_Flags) <='1';
1728
                                                                IF opcode(5 downto 3)="010" THEN        --lea (Am),An
1729
                                                                        dest_areg <= '1';
1730
                                                                        dest_hbits <= '1';
1731
                                                                ELSE
1732
                                                                        ea_build_now <= '1';
1733
                                                                END IF;
1734
                                                                IF set(get_ea_now)='1' THEN
1735
                                                                        setstate <= "01";
1736
                                                                        set_direct_data <= '1';
1737
                                                                END IF;
1738
                                                                IF setexecOPC='1' THEN
1739
                                                                        dest_areg <= '1';
1740
                                                                        dest_hbits <= '1';
1741
                                                                END IF;
1742
                                                        END IF;
1743
                                                ELSE
1744
                                                        trap_illegal <= '1';
1745
                                                        trapmake <= '1';
1746
                                                END IF;
1747
                                        ELSE                                                            --chk
1748
                                                IF opcode(7)='1' THEN
1749
                                                        datatype <= "01";       --Word
1750
                                                                set(trap_chk) <= '1';
1751
                                                        IF (c_out(1)='0' OR OP1out(15)='1' OR OP2out(15)='1') AND exec(opcCHK)='1' THEN
1752
                                                                trapmake <= '1';
1753
                                                        END IF;
1754
                                                ELSIF cpu(1)='1' THEN   --chk long for 68020
1755
                                                        datatype <= "10";       --Long
1756
                                                                set(trap_chk) <= '1';
1757
                                                        IF (c_out(2)='1' OR OP1out(31)='1' OR OP2out(31)='1') AND exec(opcCHK)='1' THEN
1758
                                                                trapmake <= '1';
1759
                                                        END IF;
1760
                                                ELSE
1761
                                                        trap_illegal <= '1';            -- chk long for 68020
1762
                                                        trapmake <= '1';
1763
                                                END IF;
1764
                                                IF opcode(7)='1' OR cpu(1)='1' THEN
1765
                                                        IF (nextpass='1' OR opcode(5 downto 4)="00") AND exec(opcCHK)='0' AND micro_state=idle THEN
1766
                                                                set_exec(opcCHK) <= '1';
1767
                                                        END IF;
1768
                                                        ea_build_now <= '1';
1769
                                                        set(addsub) <= '1';
1770
                                                        IF setexecOPC='1' THEN
1771
                                                                dest_hbits <= '1';
1772
                                                                source_lowbits <='1';
1773
                                                        END IF;
1774
                                                END IF;
1775
                                        END IF;
1776
                                ELSE
1777
                                        CASE opcode(11 downto 9) IS
1778
                                                WHEN "000"=>
1779
                                                        IF opcode(7 downto 6)="11" THEN                                 --move from SR
1780
                                                                IF SR_Read=0 OR (cpu(0)='0' AND SR_Read=2) OR SVmode='1'  THEN
1781
                                                                        ea_build_now <= '1';
1782
                                                                        set_exec(opcMOVESR) <= '1';
1783
                                                                        datatype <= "01";
1784
                                                                        write_back <='1';                                                       -- im 68000 wird auch erst gelesen
1785
                                                                        IF cpu(0)='1' AND state="10" THEN
1786
                                                                                skipFetch <= '1';
1787
                                                                        END IF;
1788
                                                                        IF opcode(5 downto 4)="00" THEN
1789
                                                                                set_exec(Regwrena) <= '1';
1790
                                                                        END IF;
1791
                                                                ELSE
1792
                                                                        trap_priv <= '1';
1793
                                                                        trapmake <= '1';
1794
                                                                END IF;
1795
                                                        ELSE                                                                    --negx
1796
                                                                ea_build_now <= '1';
1797
                                                                set_exec(use_XZFlag) <= '1';
1798
                                                                write_back <='1';
1799
                                                                set_exec(opcADD) <= '1';
1800
                                                                set(addsub) <= '1';
1801
                                                                source_lowbits <= '1';
1802
                                                                IF opcode(5 downto 4)="00" THEN
1803
                                                                        set_exec(Regwrena) <= '1';
1804
                                                                END IF;
1805
                                                                IF setexecOPC='1' THEN
1806
                                                                        set(OP1out_zero) <= '1';
1807
                                                                END IF;
1808
                                                        END IF;
1809
                                                WHEN "001"=>
1810
                                                        IF opcode(7 downto 6)="11" THEN                                 --move from CCR 68010
1811
                                                                IF SR_Read=1 OR (cpu(0)='1' AND SR_Read=2) THEN
1812
                                                                        ea_build_now <= '1';
1813
                                                                        set_exec(opcMOVESR) <= '1';
1814
                                                                        datatype <= "01";
1815
                                                                        write_back <='1';                                                       -- im 68000 wird auch erst gelesen
1816
--                                                                      IF state="10" THEN
1817
--                                                                              skipFetch <= '1';
1818
--                                                                      END IF;
1819
                                                                        IF opcode(5 downto 4)="00" THEN
1820
                                                                                set_exec(Regwrena) <= '1';
1821
                                                                        END IF;
1822
                                                                ELSE
1823
                                                                        trap_illegal <= '1';
1824
                                                                        trapmake <= '1';
1825
                                                                END IF;
1826
                                                        ELSE                                                                                    --clr
1827
                                                                ea_build_now <= '1';
1828
                                                                write_back <='1';
1829
                                                                set_exec(opcAND) <= '1';
1830
                                                        IF cpu(0)='1' AND state="10" THEN
1831
                                                                skipFetch <= '1';
1832
                                                        END IF;
1833
                                                                IF setexecOPC='1' THEN
1834
                                                                        set(OP1out_zero) <= '1';
1835
                                                                END IF;
1836
                                                                IF opcode(5 downto 4)="00" THEN
1837
                                                                        set_exec(Regwrena) <= '1';
1838
                                                                END IF;
1839
                                                        END IF;
1840
                                                WHEN "010"=>
1841
                                                        ea_build_now <= '1';
1842
                                                        IF opcode(7 downto 6)="11" THEN                                 --move to CCR
1843
                                                                datatype <= "01";
1844
                                                                source_lowbits <= '1';
1845
                                                                IF (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1846
                                                                        set(to_CCR) <= '1';
1847
                                                                END IF;
1848
                                                        ELSE                                                                                    --neg
1849
                                                                write_back <='1';
1850
                                                                set_exec(opcADD) <= '1';
1851
                                                                set(addsub) <= '1';
1852
                                                                source_lowbits <= '1';
1853
                                                                IF opcode(5 downto 4)="00" THEN
1854
                                                                        set_exec(Regwrena) <= '1';
1855
                                                                END IF;
1856
                                                                IF setexecOPC='1' THEN
1857
                                                                        set(OP1out_zero) <= '1';
1858
                                                                END IF;
1859
                                                        END IF;
1860
                                                WHEN "011"=>                                                                            --not, move toSR
1861
                                                        IF opcode(7 downto 6)="11" THEN                                 --move to SR
1862
                                                                IF SVmode='1' THEN
1863
                                                                        ea_build_now <= '1';
1864
                                                                        datatype <= "01";
1865
                                                                        source_lowbits <= '1';
1866
                                                                        IF (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1867
                                                                                set(to_SR) <= '1';
1868
                                                                                set(to_CCR) <= '1';
1869
                                                                        END IF;
1870
                                                                        IF exec(to_SR)='1' OR (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1871
                                                                                setstate <="01";
1872
                                                                        END IF;
1873
                                                                ELSE
1874
                                                                        trap_priv <= '1';
1875
                                                                        trapmake <= '1';
1876
                                                                END IF;
1877
                                                        ELSE                                                                                    --not
1878
                                                                ea_build_now <= '1';
1879
                                                                write_back <='1';
1880
                                                                set_exec(opcEOR) <= '1';
1881
                                                                set_exec(ea_data_OP1) <= '1';
1882
                                                                IF opcode(5 downto 3)="000" THEN
1883
                                                                        set_exec(Regwrena) <= '1';
1884
                                                                END IF;
1885
                                                                IF setexecOPC='1' THEN
1886
                                                                        set(OP2out_one) <= '1';
1887
                                                                END IF;
1888
                                                        END IF;
1889
                                                WHEN "100"|"110"=>
1890
                                                        IF opcode(7)='1' THEN                   --movem, ext
1891
                                                                IF opcode(5 downto 3)="000" AND opcode(10)='0' THEN              --ext
1892
                                                                        source_lowbits <= '1';
1893
                                                                        set_exec(opcEXT) <= '1';
1894
                                                                        set_exec(opcMOVE) <= '1';
1895
                                                                        set_exec(Regwrena) <= '1';
1896
                                                                        IF opcode(6)='0' THEN
1897
                                                                                datatype <= "01";               --WORD
1898
                                                                        END IF;
1899
                                                                ELSE                                                                                                    --movem
1900
--                                                              IF opcode(11 downto 7)="10001" OR opcode(11 downto 7)="11001" THEN      --MOVEM
1901
                                                                        ea_only <= '1';
1902
                                                                        set(no_Flags) <= '1';
1903
                                                                        IF opcode(6)='0' THEN
1904
                                                                                datatype <= "01";               --Word transfer
1905
                                                                        END IF;
1906
                                                                        IF (opcode(5 downto 3)="100" OR opcode(5 downto 3)="011") AND state="01" THEN   -- -(An), (An)+
1907
                                                                                set_exec(save_memaddr) <= '1';
1908
                                                                                set_exec(Regwrena) <= '1';
1909
                                                                        END IF;
1910
                                                                        IF opcode(5 downto 3)="100" THEN        -- -(An)
1911
                                                                                movem_presub <= '1';
1912
                                                                                set(subidx) <= '1';
1913
                                                                        END IF;
1914
                                                                        IF state="10" THEN
1915
                                                                                set(Regwrena) <= '1';
1916
                                                                                set(opcMOVE) <= '1';
1917
                                                                        END IF;
1918
                                                                        IF decodeOPC='1' THEN
1919
                                                                                set(get_2ndOPC) <='1';
1920
                                                                                IF opcode(5 downto 3)="010" OR opcode(5 downto 3)="011" OR opcode(5 downto 3)="100" THEN
1921
                                                                                        next_micro_state <= movem1;
1922
                                                                                ELSE
1923
                                                                                        next_micro_state <= nop;
1924
                                                                                        set(ea_build) <= '1';
1925
                                                                                END IF;
1926
                                                                        END IF;
1927
                                                                        IF set(get_ea_now)='1' THEN
1928
                                                                                IF movem_run='1' THEN
1929
                                                                                        set(movem_action) <= '1';
1930
                                                                                        IF opcode(10)='0' THEN
1931
                                                                                                setstate <="11";
1932
                                                                                                set(write_reg) <= '1';
1933
                                                                                        ELSE
1934
                                                                                                setstate <="10";
1935
                                                                                        END IF;
1936
                                                                                        next_micro_state <= movem2;
1937
                                                                                        set(mem_addsub) <= '1';
1938
                                                                                ELSE
1939
                                                                                        setstate <="01";
1940
                                                                                END IF;
1941
                                                                        END IF;
1942
                                                                END IF;
1943
                                                        ELSE
1944
                                                                IF opcode(10)='1' THEN                                          --MUL.L, DIV.L 68020
1945
         --FPGA Multiplier for long                                                     
1946
                                                                        IF MUL_Hardware=1 AND (opcode(6)='0' AND (MUL_Mode=1 OR (cpu(1)='1' AND MUL_Mode=2))) THEN
1947
                                                                                IF decodeOPC='1' THEN
1948
                                                                                        next_micro_state <= nop;
1949
                                                                                        set(get_2ndOPC) <= '1';
1950
                                                                                        set(ea_build) <= '1';
1951
                                                                                END IF;
1952
                                                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND exec(ea_build)='1') THEN
1953
                                                                                        dest_2ndHbits <= '1';
1954
                                                                                        datatype <= "10";
1955
                                                                                        set(opcMULU) <= '1';
1956
                                                                                        set(write_lowlong) <= '1';
1957
                                                                                        IF sndOPC(10)='1' THEN
1958
                                                                                                setstate <="01";
1959
                                                                                                next_micro_state <= mul_end2;
1960
                                                                                        END IF;
1961
                                                                                        set(Regwrena) <= '1';
1962
                                                                                END IF;
1963
                                                                                source_lowbits <='1';
1964
                                                                                datatype <= "10";
1965
 
1966
         --no FPGA Multplier                                            
1967
                                                                        ELSIF (opcode(6)='1' AND (DIV_Mode=1 OR (cpu(1)='1' AND DIV_Mode=2))) OR
1968
                                                                           (opcode(6)='0' AND (MUL_Mode=1 OR (cpu(1)='1' AND MUL_Mode=2))) THEN
1969
                                                                                IF decodeOPC='1' THEN
1970
                                                                                        next_micro_state <= nop;
1971
                                                                                        set(get_2ndOPC) <= '1';
1972
                                                                                        set(ea_build) <= '1';
1973
                                                                                END IF;
1974
                                                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND exec(ea_build)='1')THEN
1975
                                                                                        setstate <="01";
1976
                                                                                        dest_2ndHbits <= '1';
1977
                                                                                        source_2ndLbits <= '1';
1978
                                                                                        IF opcode(6)='1' THEN
1979
                                                                                                next_micro_state <= div1;
1980
                                                                                        ELSE
1981
                                                                                                next_micro_state <= mul1;
1982
                                                                                                set(ld_rot_cnt) <= '1';
1983
                                                                                        END IF;
1984
                                                                                END IF;
1985
                                                                                IF z_error='0' AND set_V_Flag='0' AND set(opcDIVU)='1' THEN
1986
                                                                                        set(Regwrena) <= '1';
1987
                                                                                END IF;
1988
                                                                                source_lowbits <='1';
1989
                                                                                IF nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
1990
                                                                                        dest_hbits <= '1';
1991
                                                                                END IF;
1992
                                                                                datatype <= "10";
1993
                                                                        ELSE
1994
                                                                                trap_illegal <= '1';
1995
                                                                                trapmake <= '1';
1996
                                                                        END IF;
1997
 
1998
                                                                ELSE                                                    --pea, swap
1999
                                                                        IF opcode(6)='1' THEN
2000
                                                                                datatype <= "10";
2001
                                                                                IF opcode(5 downto 3)="000" THEN                --swap
2002
                                                                                        set_exec(opcSWAP) <= '1';
2003
                                                                                        set_exec(Regwrena) <= '1';
2004
                                                                                ELSIF opcode(5 downto 3)="001" THEN             --bkpt
2005
 
2006
                                                                                ELSE                                                                    --pea
2007
                                                                                        ea_only <= '1';
2008
                                                                                        ea_build_now <= '1';
2009
                                                                                        IF nextpass='1' AND micro_state=idle THEN
2010
                                                                                                set(presub) <= '1';
2011
                                                                                                setstackaddr <='1';
2012
                                                                                                setstate <="11";
2013
                                                                                                next_micro_state <= nop;
2014
                                                                                        END IF;
2015
                                                                                        IF set(get_ea_now)='1' THEN
2016
                                                                                                setstate <="01";
2017
                                                                                        END IF;
2018
                                                                                END IF;
2019
                                                                        ELSE
2020
                                                                                IF opcode(5 downto 3)="001" THEN --link.l
2021
                                                                                        datatype <= "10";
2022
                                                                                        set_exec(opcADD) <= '1';                                                --for displacement
2023
                                                                                        set_exec(Regwrena) <= '1';
2024
                                                                                        set(no_Flags) <= '1';
2025
                                                                                        IF decodeOPC='1' THEN
2026
                                                                                                set(linksp) <= '1';
2027
                                                                                                set(longaktion) <= '1';
2028
                                                                                                next_micro_state <= link1;
2029
                                                                                                set(presub) <= '1';
2030
                                                                                                setstackaddr <='1';
2031
                                                                                                set(mem_addsub) <= '1';
2032
                                                                                                source_lowbits <= '1';
2033
                                                                                                source_areg <= '1';
2034
                                                                                                set(store_ea_data) <= '1';
2035
                                                                                        END IF;
2036
                                                                                ELSE                                            --nbcd  
2037
                                                                                        ea_build_now <= '1';
2038
                                                                                        set_exec(use_XZFlag) <= '1';
2039
                                                                                        write_back <='1';
2040
                                                                                        set_exec(opcADD) <= '1';
2041
                                                                                        set_exec(opcSBCD) <= '1';
2042
                                                                                        set(addsub) <= '1';
2043
                                                                                        source_lowbits <= '1';
2044
                                                                                        IF opcode(5 downto 4)="00" THEN
2045
                                                                                                set_exec(Regwrena) <= '1';
2046
                                                                                        END IF;
2047
                                                                                        IF setexecOPC='1' THEN
2048
                                                                                                set(OP1out_zero) <= '1';
2049
                                                                                        END IF;
2050
                                                                                END IF;
2051
                                                                        END IF;
2052
                                                                END IF;
2053
                                                        END IF;
2054
--0x4AXX                                                        
2055
                                                WHEN "101"=>                                            --tst, tas  4aFC - illegal
2056
--                                                      IF opcode(7 downto 2)="111111" THEN   --illegal
2057
                                                        IF opcode(7 downto 3)="11111" AND opcode(2 downto 1)/="00" THEN   --0x4AFC illegal  --0x4AFB BKP Sinclair QL
2058
                                                                trap_illegal <= '1';
2059
                                                                trapmake <= '1';
2060
                                                        ELSE
2061
                                                                ea_build_now <= '1';
2062
                                                                IF setexecOPC='1' THEN
2063
                                                                        source_lowbits <= '1';
2064
                                                                        IF opcode(3)='1' THEN                   --MC68020...
2065
                                                                                source_areg <= '1';
2066
                                                                        END IF;
2067
                                                                END IF;
2068
                                                                set_exec(opcMOVE) <= '1';
2069
                                                                IF opcode(7 downto 6)="11" THEN         --tas
2070
                                                                        set_exec_tas <= '1';
2071
                                                                        write_back <= '1';
2072
                                                                        datatype <= "00";                               --Byte
2073
                                                                        IF opcode(5 downto 4)="00" THEN
2074
                                                                                set_exec(Regwrena) <= '1';
2075
                                                                        END IF;
2076
                                                                END IF;
2077
                                                        END IF;
2078
----                                            WHEN "110"=>
2079
                                                WHEN "111"=>                                    --4EXX
2080
--
2081
--                                                                                      ea_only <= '1';
2082
--                                                                                      ea_build_now <= '1';
2083
--                                                                                      IF nextpass='1' AND micro_state=idle THEN
2084
--                                                                                              set(presub) <= '1';
2085
--                                                                                              setstackaddr <='1';
2086
--                                                                                              set(mem_addsub) <= '1';
2087
--                                                                                              setstate <="11";
2088
--                                                                                              next_micro_state <= nop;
2089
--                                                                                      END IF;
2090
--                                                                                      IF set(get_ea_now)='1' THEN
2091
--                                                                                              setstate <="01";
2092
--                                                                                      END IF;
2093
--                                                              
2094
 
2095
 
2096
 
2097
                                                        IF opcode(7)='1' THEN           --jsr, jmp
2098
                                                                datatype <= "10";
2099
                                                                ea_only <= '1';
2100
                                                                ea_build_now <= '1';
2101
                                                                IF exec(ea_to_pc)='1' THEN
2102
                                                                        next_micro_state <= nop;
2103
                                                                END IF;
2104
                                                                IF nextpass='1' AND micro_state=idle AND opcode(6)='0' THEN
2105
                                                                        set(presub) <= '1';
2106
                                                                        setstackaddr <='1';
2107
                                                                        setstate <="11";
2108
                                                                        next_micro_state <= nopnop;
2109
                                                                END IF;
2110
-- achtung buggefahr                                                            
2111
                                                                IF micro_state=ld_AnXn1 AND brief(8)='0'THEN                     --JMP/JSR n(Ax,Dn)
2112
                                                                        skipFetch <= '1';
2113
                                                                END IF;
2114
                                                                IF state="00" THEN
2115
                                                                        writePC <= '1';
2116
                                                                END IF;
2117
                                                                set(hold_dwr) <= '1';
2118
                                                                IF set(get_ea_now)='1' THEN                                     --jsr
2119
                                                                        IF exec(longaktion)='0' OR long_done='1' THEN
2120
                                                                                skipFetch <= '1';
2121
                                                                        END IF;
2122
                                                                        setstate <="01";
2123
                                                                        set(ea_to_pc) <= '1';
2124
                                                                END IF;
2125
                                                        ELSE                                            --
2126
                                                                CASE opcode(6 downto 0) IS
2127
                                                                        WHEN "1000000"|"1000001"|"1000010"|"1000011"|"1000100"|"1000101"|"1000110"|"1000111"|           --trap
2128
                                                                             "1001000"|"1001001"|"1001010"|"1001011"|"1001100"|"1001101"|"1001110"|"1001111" =>         --trap
2129
                                                                                        trap_trap <='1';
2130
                                                                                        trapmake <= '1';
2131
                                                                        WHEN "1010000"|"1010001"|"1010010"|"1010011"|"1010100"|"1010101"|"1010110"|"1010111"=>          --link word
2132
                                                                                datatype <= "10";
2133
                                                                                set_exec(opcADD) <= '1';                                                --for displacement
2134
                                                                                set_exec(Regwrena) <= '1';
2135
                                                                                set(no_Flags) <= '1';
2136
                                                                                IF decodeOPC='1' THEN
2137
                                                                                        next_micro_state <= link1;
2138
                                                                                        set(presub) <= '1';
2139
                                                                                        setstackaddr <='1';
2140
                                                                                        set(mem_addsub) <= '1';
2141
                                                                                        source_lowbits <= '1';
2142
                                                                                        source_areg <= '1';
2143
                                                                                        set(store_ea_data) <= '1';
2144
                                                                                END IF;
2145
 
2146
                                                                        WHEN "1011000"|"1011001"|"1011010"|"1011011"|"1011100"|"1011101"|"1011110"|"1011111" =>         --unlink
2147
                                                                                datatype <= "10";
2148
                                                                                set_exec(Regwrena) <= '1';
2149
                                                                                set_exec(opcMOVE) <= '1';
2150
                                                                                set(no_Flags) <= '1';
2151
                                                                                IF decodeOPC='1' THEN
2152
                                                                                        setstate <= "01";
2153
                                                                                        next_micro_state <= unlink1;
2154
                                                                                        set(opcMOVE) <= '1';
2155
                                                                                        set(Regwrena) <= '1';
2156
                                                                                        setstackaddr <='1';
2157
                                                                                        source_lowbits <= '1';
2158
                                                                                        source_areg <= '1';
2159
                                                                                END IF;
2160
 
2161
                                                                        WHEN "1100000"|"1100001"|"1100010"|"1100011"|"1100100"|"1100101"|"1100110"|"1100111" =>         --move An,USP
2162
                                                                                IF SVmode='1' THEN
2163
--                                                                                      set(no_Flags) <= '1';
2164
                                                                                        set(to_USP) <= '1';
2165
                                                                                        source_lowbits <= '1';
2166
                                                                                        source_areg <= '1';
2167
                                                                                        datatype <= "10";
2168
                                                                                ELSE
2169
                                                                                        trap_priv <= '1';
2170
                                                                                        trapmake <= '1';
2171
                                                                                END IF;
2172
                                                                        WHEN "1101000"|"1101001"|"1101010"|"1101011"|"1101100"|"1101101"|"1101110"|"1101111" =>         --move USP,An
2173
                                                                                IF SVmode='1' THEN
2174
--                                                                                      set(no_Flags) <= '1';
2175
                                                                                        set(from_USP) <= '1';
2176
                                                                                        datatype <= "10";
2177
                                                                                        set_exec(Regwrena) <= '1';
2178
                                                                                ELSE
2179
                                                                                        trap_priv <= '1';
2180
                                                                                        trapmake <= '1';
2181
                                                                                END IF;
2182
 
2183
                                                                        WHEN "1110000" =>                                       --reset
2184
                                                                                IF SVmode='0' THEN
2185
                                                                                        trap_priv <= '1';
2186
                                                                                        trapmake <= '1';
2187
                                                                                ELSE
2188
                                                                                        set(opcRESET) <= '1';
2189
                                                                                        IF decodeOPC='1' THEN
2190
                                                                                                set(ld_rot_cnt) <= '1';
2191
                                                                                                set_rot_cnt <= "000000";
2192
                                                                                        END IF;
2193
                                                                                END IF;
2194
 
2195
                                                                        WHEN "1110001" =>                                       --nop
2196
 
2197
                                                                        WHEN "1110010" =>                                       --stop
2198
                                                                                IF SVmode='0' THEN
2199
                                                                                        trap_priv <= '1';
2200
                                                                                        trapmake <= '1';
2201
                                                                                ELSE
2202
                                                                                        IF decodeOPC='1' THEN
2203
                                                                                                setnextpass <= '1';
2204
                                                                                                set_stop <= '1';
2205
                                                                                        END IF;
2206
                                                                                        IF stop='1' THEN
2207
                                                                                                skipFetch <= '1';
2208
                                                                                        END IF;
2209
 
2210
                                                                                END IF;
2211
 
2212
                                                                        WHEN "1110011"|"1110111" =>                                                                     --rte/rtr
2213
                                                                                IF SVmode='1' OR opcode(2)='1' THEN
2214
                                                                                        IF decodeOPC='1' THEN
2215
                                                                                                setstate <= "10";
2216
                                                                                                set(postadd) <= '1';
2217
                                                                                                setstackaddr <= '1';
2218
                                                                                                IF opcode(2)='1' THEN
2219
                                                                                                        set(directCCR) <= '1';
2220
                                                                                                ELSE
2221
                                                                                                        set(directSR) <= '1';
2222
                                                                                                END IF;
2223
                                                                                                next_micro_state <= rte1;
2224
                                                                                        END IF;
2225
                                                                                ELSE
2226
                                                                                        trap_priv <= '1';
2227
                                                                                        trapmake <= '1';
2228
                                                                                END IF;
2229
 
2230
                                                                        WHEN "1110100" =>                                                                       --rtd
2231
                                                                                datatype <= "10";
2232
                                                                                IF decodeOPC='1' THEN
2233
                                                                                        setstate <= "10";
2234
                                                                                        set(postadd) <= '1';
2235
                                                                                        setstackaddr <= '1';
2236
                                                                                        set(direct_delta) <= '1';
2237
                                                                                        set(directPC) <= '1';
2238
                                                                                        set_direct_data <= '1';
2239
                                                                                        next_micro_state <= rtd1;
2240
                                                                                END IF;
2241
 
2242
 
2243
                                                                        WHEN "1110101" =>                                                                       --rts
2244
                                                                                datatype <= "10";
2245
                                                                                IF decodeOPC='1' THEN
2246
                                                                                        setstate <= "10";
2247
                                                                                        set(postadd) <= '1';
2248
                                                                                        setstackaddr <= '1';
2249
                                                                                        set(direct_delta) <= '1';
2250
                                                                                        set(directPC) <= '1';
2251
                                                                                        next_micro_state <= nopnop;
2252
                                                                                END IF;
2253
 
2254
                                                                        WHEN "1110110" =>                                                                       --trapv
2255
                                                                                IF decodeOPC='1' THEN
2256
                                                                                        setstate <= "01";
2257
                                                                                END IF;
2258
                                                                                IF Flags(1)='1' AND state="01" THEN
2259
                                                                                        trap_trapv <= '1';
2260
                                                                                        trapmake <= '1';
2261
                                                                                END IF;
2262
 
2263
                                                                        WHEN "1111010"|"1111011" =>                                                                     --movec
2264
                                                                                IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
2265
                                                                                        trap_illegal <= '1';
2266
                                                                                        trapmake <= '1';
2267
                                                                                ELSIF SVmode='0' THEN
2268
                                                                                        trap_priv <= '1';
2269
                                                                                        trapmake <= '1';
2270
                                                                                ELSE
2271
                                                                                        datatype <= "10";       --Long
2272
                                                                                        IF last_data_read(11 downto 0)=X"800" THEN
2273
                                                                                                set(from_USP) <= '1';
2274
                                                                                                IF opcode(0)='1' THEN
2275
                                                                                                        set(to_USP) <= '1';
2276
                                                                                                END IF;
2277
                                                                                        END IF;
2278
                                                                                        IF opcode(0)='0' THEN
2279
                                                                                                set_exec(movec_rd) <= '1';
2280
                                                                                        ELSE
2281
                                                                                                set_exec(movec_wr) <= '1';
2282
                                                                                        END IF;
2283
                                                                                        IF decodeOPC='1' THEN
2284
                                                                                                next_micro_state <= movec1;
2285
                                                                                                getbrief <='1';
2286
                                                                                        END IF;
2287
                                                                                END IF;
2288
 
2289
                                                                        WHEN OTHERS =>
2290
                                                                                trap_illegal <= '1';
2291
                                                                                trapmake <= '1';
2292
                                                                END CASE;
2293
                                                        END IF;
2294
                                                WHEN OTHERS => NULL;
2295
                                        END CASE;
2296
                                END IF;
2297
--                                      
2298
---- 0101 ----------------------------------------------------------------------------          
2299
                        WHEN "0101" =>                                                          --subq, addq    
2300
 
2301
                                        IF opcode(7 downto 6)="11" THEN --dbcc
2302
                                                IF opcode(5 downto 3)="001" THEN --dbcc
2303
                                                        IF decodeOPC='1' THEN
2304
                                                                next_micro_state <= dbcc1;
2305
                                                                set(OP2out_one) <= '1';
2306
                                                                data_is_source <= '1';
2307
                                                        END IF;
2308
                                                ELSE                            --Scc
2309
                                                        datatype <= "00";                       --Byte
2310
                                                        ea_build_now <= '1';
2311
                                                        write_back <= '1';
2312
                                                        set_exec(opcScc) <= '1';
2313
                                                        IF cpu(0)='1' AND state="10" THEN
2314
                                                                skipFetch <= '1';
2315
                                                        END IF;
2316
                                                        IF opcode(5 downto 4)="00" THEN
2317
                                                                set_exec(Regwrena) <= '1';
2318
                                                        END IF;
2319
                                                END IF;
2320
                                        ELSE                                    --addq, subq
2321
                                                ea_build_now <= '1';
2322
                                                IF opcode(5 downto 3)="001" THEN
2323
                                                        set(no_Flags) <= '1';
2324
                                                END IF;
2325
                                                IF opcode(8)='1' THEN
2326
                                                        set(addsub) <= '1';
2327
                                                END IF;
2328
                                                write_back <= '1';
2329
                                                set_exec(opcADDQ) <= '1';
2330
                                                set_exec(opcADD) <= '1';
2331
                                                set_exec(ea_data_OP1) <= '1';
2332
                                                IF opcode(5 downto 4)="00" THEN
2333
                                                        set_exec(Regwrena) <= '1';
2334
                                                END IF;
2335
                                        END IF;
2336
--                              
2337
---- 0110 ----------------------------------------------------------------------------          
2338
                        WHEN "0110" =>                          --bra,bsr,bcc
2339
                                datatype <= "10";
2340
 
2341
                                IF micro_state=idle THEN
2342
                                        IF opcode(11 downto 8)="0001" THEN              --bsr
2343
                                                set(presub) <= '1';
2344
                                                setstackaddr <='1';
2345
                                                IF opcode(7 downto 0)="11111111" THEN
2346
                                                        next_micro_state <= bsr2;
2347
                                                        set(longaktion) <= '1';
2348
                                                ELSIF opcode(7 downto 0)="00000000" THEN
2349
                                                        next_micro_state <= bsr2;
2350
                                                ELSE
2351
                                                        next_micro_state <= bsr1;
2352
                                                        setstate <= "11";
2353
                                                        writePC <= '1';
2354
                                                END IF;
2355
                                        ELSE                                                                    --bra
2356
                                                IF opcode(7 downto 0)="11111111" THEN
2357
                                                        next_micro_state <= bra1;
2358
                                                        set(longaktion) <= '1';
2359
                                                ELSIF opcode(7 downto 0)="00000000" THEN
2360
                                                        next_micro_state <= bra1;
2361
                                                ELSE
2362
                                                        setstate <= "01";
2363
                                                        next_micro_state <= bra1;
2364
                                                END IF;
2365
                                        END IF;
2366
                                END IF;
2367
 
2368
-- 0111 ----------------------------------------------------------------------------            
2369
                        WHEN "0111" =>                          --moveq
2370
--                              IF opcode(8)='0' THEN   -- Cloanto's Amiga Forver ROMs have mangled moveq instructions with a 1 here...
2371
                                        datatype <= "10";               --Long
2372
                                        set_exec(Regwrena) <= '1';
2373
                                        set_exec(opcMOVEQ) <= '1';
2374
                                        set_exec(opcMOVE) <= '1';
2375
                                        dest_hbits <= '1';
2376
--                              ELSE
2377
--                                      trap_illegal <= '1';
2378
--                                      trapmake <= '1';
2379
--                              END IF;
2380
 
2381
---- 1000 ----------------------------------------------------------------------------          
2382
                        WHEN "1000" =>                                                          --or    
2383
                                IF opcode(7 downto 6)="11" THEN --divu, divs
2384
                                        IF DIV_Mode/=3 THEN
2385
                                                IF opcode(5 downto 4)="00" THEN --Dn, An
2386
                                                        regdirectsource <= '1';
2387
                                                END IF;
2388
                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2389
                                                        setstate <="01";
2390
                                                        next_micro_state <= div1;
2391
                                                END IF;
2392
                                                ea_build_now <= '1';
2393
                                                IF z_error='0' AND set_V_Flag='0' THEN
2394
                                                        set_exec(Regwrena) <= '1';
2395
                                                END IF;
2396
                                                        source_lowbits <='1';
2397
                                                IF nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2398
                                                        dest_hbits <= '1';
2399
                                                END IF;
2400
                                                datatype <= "01";
2401
                                        ELSE
2402
                                                trap_illegal <= '1';
2403
                                                trapmake <= '1';
2404
                                        END IF;
2405
 
2406
                                ELSIF opcode(8)='1' AND opcode(5 downto 4)="00" THEN    --sbcd, pack , unpack
2407
                                        IF opcode(7 downto 6)="00" THEN --sbcd
2408
                                                build_bcd <= '1';
2409
                                                set_exec(opcADD) <= '1';
2410
                                                set_exec(opcSBCD) <= '1';
2411
                                                set(addsub) <= '1';
2412
                                        ELSIF opcode(7 downto 6)="01" OR opcode(7 downto 6)="10" THEN   --pack , unpack
2413
                                                set_exec(ea_data_OP1) <= '1';
2414
                                                set(no_Flags) <= '1';
2415
                                                source_lowbits <='1';
2416
                                                IF opcode(7 downto 6) = "01" THEN       --pack
2417
                                                        set_exec(opcPACK) <= '1';
2418
                                                        datatype <= "01";                               --Word
2419
                                                ELSE                                                            --unpk
2420
                                                        set_exec(opcUNPACK) <= '1';
2421
                                                        datatype <= "00";                               --Byte
2422
                                                END IF;
2423
                                                IF opcode(3)='0' THEN
2424
                                                        IF opcode(7 downto 6) = "01" THEN       --pack
2425
                                                                set_datatype <= "00";           --Byte
2426
                                                        ELSE                                                            --unpk
2427
                                                                set_datatype <= "01";           --Word
2428
                                                        END IF;
2429
                                                        set_exec(Regwrena) <= '1';
2430
                                                        dest_hbits <= '1';
2431
                                                        IF decodeOPC='1' THEN
2432
                                                                next_micro_state <= nop;
2433
--                                                              set_direct_data <= '1';
2434
                                                                set(store_ea_packdata) <= '1';
2435
                                                                set(store_ea_data) <= '1';
2436
                                                        END IF;
2437
                                                ELSE                            -- pack -(Ax),-(Ay)
2438
                                                        write_back <= '1';
2439
                                                        IF decodeOPC='1' THEN
2440
                                                                next_micro_state <= pack1;
2441
                                                                set_direct_data <= '1';
2442
                                                        END IF;
2443
                                                END IF;
2444
                                        ELSE
2445
                                                trap_illegal <= '1';
2446
                                                trapmake <= '1';
2447
                                        END IF;
2448
                                ELSE                                                                    --or
2449
                                        set_exec(opcOR) <= '1';
2450
                                        build_logical <= '1';
2451
                                END IF;
2452
 
2453
---- 1001, 1101 -----------------------------------------------------------------------         
2454
                        WHEN "1001"|"1101" =>                                           --sub, add      
2455
                                set_exec(opcADD) <= '1';
2456
                                ea_build_now <= '1';
2457
                                IF opcode(14)='0' THEN
2458
                                        set(addsub) <= '1';
2459
                                END IF;
2460
                                IF opcode(7 downto 6)="11" THEN --      --adda, suba
2461
                                        IF opcode(8)='0' THEN    --adda.w, suba.w
2462
                                                datatype <= "01";       --Word
2463
                                        END IF;
2464
                                        set_exec(Regwrena) <= '1';
2465
                                        source_lowbits <='1';
2466
                                        IF opcode(3)='1' THEN
2467
                                                source_areg <= '1';
2468
                                        END IF;
2469
                                        set(no_Flags) <= '1';
2470
                                        IF setexecOPC='1' THEN
2471
                                                dest_areg <='1';
2472
                                                dest_hbits <= '1';
2473
                                        END IF;
2474
                                ELSE
2475
                                        IF opcode(8)='1' AND opcode(5 downto 4)="00" THEN               --addx, subx
2476
                                                build_bcd <= '1';
2477
                                        ELSE                                                    --sub, add
2478
                                                build_logical <= '1';
2479
                                        END IF;
2480
                                END IF;
2481
 
2482
--                              
2483
---- 1010 ----------------------------------------------------------------------------          
2484
                        WHEN "1010" =>                                                  --Trap 1010
2485
                                trap_1010 <= '1';
2486
                                trapmake <= '1';
2487
---- 1011 ----------------------------------------------------------------------------          
2488
                        WHEN "1011" =>                                                  --eor, cmp
2489
                                ea_build_now <= '1';
2490
                                IF opcode(7 downto 6)="11" THEN --CMPA
2491
                                        IF opcode(8)='0' THEN    --cmpa.w
2492
                                                datatype <= "01";       --Word
2493
                                                set_exec(opcCPMAW) <= '1';
2494
                                        END IF;
2495
                                        set_exec(opcCMP) <= '1';
2496
                                        IF setexecOPC='1' THEN
2497
                                                source_lowbits <='1';
2498
                                                IF opcode(3)='1' THEN
2499
                                                        source_areg <= '1';
2500
                                                END IF;
2501
                                                dest_areg <='1';
2502
                                                dest_hbits <= '1';
2503
                                        END IF;
2504
                                        set(addsub) <= '1';
2505
                                ELSE
2506
                                        IF opcode(8)='1' THEN
2507
                                                IF opcode(5 downto 3)="001" THEN                --cmpm
2508
                                                        set_exec(opcCMP) <= '1';
2509
                                                        IF decodeOPC='1' THEN
2510
                                                                IF opcode(2 downto 0)="111" THEN
2511
                                                                        set(use_SP) <= '1';
2512
                                                                END IF;
2513
                                                                setstate <= "10";
2514
                                                                set(update_ld) <= '1';
2515
                                                                set(postadd) <= '1';
2516
                                                                next_micro_state <= cmpm;
2517
                                                        END IF;
2518
                                                        set_exec(ea_data_OP1) <= '1';
2519
                                                        set(addsub) <= '1';
2520
                                                ELSE                                            --EOR
2521
                                                        build_logical <= '1';
2522
                                                        set_exec(opcEOR) <= '1';
2523
                                                END IF;
2524
                                        ELSE                                                    --CMP
2525
                                                build_logical <= '1';
2526
                                                set_exec(opcCMP) <= '1';
2527
                                                set(addsub) <= '1';
2528
                                        END IF;
2529
                                END IF;
2530
--                              
2531
---- 1100 ----------------------------------------------------------------------------          
2532
                        WHEN "1100" =>                                                          --and, exg
2533
                                IF opcode(7 downto 6)="11" THEN --mulu, muls
2534
                                        IF MUL_Mode/=3 THEN
2535
                                                IF opcode(5 downto 4)="00" THEN --Dn, An
2536
                                                        regdirectsource <= '1';
2537
                                                END IF;
2538
                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2539
                                                        IF MUL_Hardware=0 THEN
2540
                                                                setstate <="01";
2541
                                                                set(ld_rot_cnt) <= '1';
2542
                                                                next_micro_state <= mul1;
2543
                                                        ELSE
2544
                                                                set_exec(write_lowlong) <= '1';
2545
                                                                set_exec(opcMULU) <= '1';
2546
                                                        END IF;
2547
                                                END IF;
2548
                                                ea_build_now <= '1';
2549
                                                set_exec(Regwrena) <= '1';
2550
                                                source_lowbits <='1';
2551
                                                IF (nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2552
                                                        dest_hbits <= '1';
2553
                                                END IF;
2554
                                                datatype <= "01";
2555
                                                IF setexecOPC='1' THEN
2556
                                                        datatype <= "10";
2557
                                                END IF;
2558
 
2559
                                        ELSE
2560
                                                trap_illegal <= '1';
2561
                                                trapmake <= '1';
2562
                                        END IF;
2563
 
2564
                                ELSIF opcode(8)='1' AND opcode(5 downto 4)="00" THEN    --exg, abcd
2565
                                        IF opcode(7 downto 6)="00" THEN --abcd
2566
                                                build_bcd <= '1';
2567
                                                set_exec(opcADD) <= '1';
2568
                                                set_exec(opcABCD) <= '1';
2569
                                        ELSE                                                                    --exg
2570
                                                datatype <= "10";
2571
                                                set(Regwrena) <= '1';
2572
                                                set(exg) <= '1';
2573
                                                IF opcode(6)='1' AND opcode(3)='1' THEN
2574
                                                        dest_areg <= '1';
2575
                                                        source_areg <= '1';
2576
                                                END IF;
2577
                                                IF decodeOPC='1' THEN
2578
                                                        setstate <= "01";
2579
                                                ELSE
2580
                                                        dest_hbits <= '1';
2581
                                                END IF;
2582
                                        END IF;
2583
                                ELSE                                                                    --and
2584
                                        set_exec(opcAND) <= '1';
2585
                                        build_logical <= '1';
2586
                                END IF;
2587
--                              
2588
---- 1110 ----------------------------------------------------------------------------          
2589
                        WHEN "1110" =>                                                          --rotation / bitfield
2590
                                IF opcode(7 downto 6)="11" THEN
2591
                                        IF opcode(11)='0' THEN
2592
                                                IF BarrelShifter=0 THEN
2593
                                                        set_exec(opcROT) <= '1';
2594
                                                ELSE
2595
                                                        set_exec(exec_BS) <='1';
2596
                                                END IF;
2597
                                                ea_build_now <= '1';
2598
                                                datatype <= "01";
2599
                                                set_rot_bits <= opcode(10 downto 9);
2600
                                                set_exec(ea_data_OP1) <= '1';
2601
                                                write_back <= '1';
2602
                                        ELSE            --bitfield
2603
                                                IF BitField=0 OR (cpu(1)='0' AND BitField=2) THEN
2604
                                                        trap_illegal <= '1';
2605
                                                        trapmake <= '1';
2606
                                                ELSE
2607
                                                        IF decodeOPC='1' THEN
2608
                                                                next_micro_state <= nop;
2609
                                                                set(get_2ndOPC) <= '1';
2610
                                                                set(ea_build) <= '1';
2611
                                                        END IF;
2612
                                                        set_exec(opcBF) <= '1';
2613
--              000-bftst, 001-bfextu, 010-bfchg, 011-bfexts, 100-bfclr, 101-bfff0, 110-bfset, 111-bfins                                                                
2614
                                                        IF opcode(10)='1' OR opcode(8)='0' THEN
2615
                                                                set_exec(opcBFwb) <= '1';                       --'1' for tst,chg,clr,ffo,set,ins    --'0' for extu,exts
2616
                                                        END IF;
2617
                                                        IF opcode(10 downto 8)="111" THEN       --BFINS
2618
                                                                set_exec(ea_data_OP1) <= '1';
2619
                                                        END IF;
2620
 
2621
                                                        IF opcode(10 downto 8)="010" OR opcode(10 downto 8)="100" OR opcode(10 downto 8)="110" OR opcode(10 downto 8)="111" THEN
2622
                                                                write_back <= '1';
2623
                                                        END IF;
2624
                                                        ea_only <= '1';
2625
                                                        IF opcode(10 downto 8)="001" OR opcode(10 downto 8)="011" OR opcode(10 downto 8)="101" THEN
2626
                                                                set_exec(Regwrena) <= '1';
2627
                                                        END IF;
2628
                                                        IF opcode(4 downto 3)="00" THEN
2629
                                                                IF opcode(10 downto 8)/="000" THEN
2630
                                                                        set_exec(Regwrena) <= '1';
2631
                                                                END IF;
2632
                                                                IF exec(ea_build)='1' THEN
2633
                                                                        dest_2ndHbits <= '1';
2634
                                                                        source_2ndLbits <= '1';
2635
                                                                        set(get_bfoffset) <='1';
2636
                                                                        setstate <= "01";
2637
                                                                END IF;
2638
                                                        END IF;
2639
                                                        IF set(get_ea_now)='1' THEN
2640
                                                                setstate <= "01";
2641
                                                        END IF;
2642
                                                        IF exec(get_ea_now)='1' THEN
2643
                                                                dest_2ndHbits <= '1';
2644
                                                                source_2ndLbits <= '1';
2645
                                                                set(get_bfoffset) <='1';
2646
                                                                setstate <= "01";
2647
                                                                set(mem_addsub) <='1';
2648
                                                                next_micro_state <= bf1;
2649
                                                        END IF;
2650
 
2651
                                                        IF setexecOPC='1' THEN
2652
                                                                IF opcode(10 downto 8)="111" THEN       --BFINS
2653
                                                                        source_2ndHbits <= '1';
2654
                                                                ELSE
2655
                                                                        source_lowbits <= '1';
2656
                                                                END IF;
2657
                                                                IF opcode(10 downto 8)="001" OR opcode(10 downto 8)="011" OR opcode(10 downto 8)="101" THEN     --BFEXT, BFFFO
2658
                                                                        dest_2ndHbits <= '1';
2659
                                                                END IF;
2660
                                                        END IF;
2661
                                                END IF;
2662
                                        END IF;
2663
                                ELSE
2664
                                        data_is_source <= '1';
2665
                                        IF BarrelShifter=0 OR (cpu(1)='0' AND BarrelShifter=2) THEN
2666
 
2667
                                                set_exec(opcROT) <= '1';
2668
                                                set_rot_bits <= opcode(4 downto 3);
2669
                                                set_exec(Regwrena) <= '1';
2670
                                                IF decodeOPC='1' THEN
2671
                                                        IF opcode(5)='1' THEN
2672
                                                                next_micro_state <= rota1;
2673
                                                                set(ld_rot_cnt) <= '1';
2674
                                                                setstate <= "01";
2675
                                                        ELSE
2676
                                                                set_rot_cnt(2 downto 0) <= opcode(11 downto 9);
2677
                                                                IF opcode(11 downto 9)="000" THEN
2678
                                                                        set_rot_cnt(3) <='1';
2679
                                                                ELSE
2680
                                                                        set_rot_cnt(3) <='0';
2681
                                                                END IF;
2682
                                                        END IF;
2683
                                                END IF;
2684
                                        ELSE
2685
                                                set_exec(exec_BS) <='1';
2686
                                                set_rot_bits <= opcode(4 downto 3);
2687
                                                set_exec(Regwrena) <= '1';
2688
                                        END IF;
2689
                                END IF;
2690
--                                                      
2691
----      ----------------------------------------------------------------------------          
2692
                        WHEN OTHERS =>
2693
                                trap_1111 <= '1';
2694
                                trapmake <= '1';
2695
 
2696
                END CASE;
2697
 
2698
-- use for AND, OR, EOR, CMP
2699
                IF build_logical='1' THEN
2700
                        ea_build_now <= '1';
2701
                        IF set_exec(opcCMP)='0' AND (opcode(8)='0' OR opcode(5 downto 4)="00" ) THEN
2702
                                set_exec(Regwrena) <= '1';
2703
                        END IF;
2704
                        IF opcode(8)='1' THEN
2705
                                write_back <= '1';
2706
                                set_exec(ea_data_OP1) <= '1';
2707
                        ELSE
2708
                                source_lowbits <='1';
2709
                                IF opcode(3)='1' THEN           --use for cmp
2710
                                        source_areg <= '1';
2711
                                END IF;
2712
                                IF setexecOPC='1' THEN
2713
                                        dest_hbits <= '1';
2714
                                END IF;
2715
                        END IF;
2716
                END IF;
2717
 
2718
-- use for ABCD, SBCD
2719
                IF build_bcd='1' THEN
2720
                        set_exec(use_XZFlag) <= '1';
2721
                        set_exec(ea_data_OP1) <= '1';
2722
                        write_back <= '1';
2723
                        source_lowbits <='1';
2724
                        IF opcode(3)='1' THEN
2725
                                IF decodeOPC='1' THEN
2726
                                        IF opcode(2 downto 0)="111" THEN
2727
                                                set(use_SP) <= '1';
2728
                                        END IF;
2729
                                        setstate <= "10";
2730
                                        set(update_ld) <= '1';
2731
                                        set(presub) <= '1';
2732
                                        next_micro_state <= op_AxAy;
2733
                                        dest_areg <= '1';                               --???
2734
                                END IF;
2735
                        ELSE
2736
                                dest_hbits <= '1';
2737
                                set_exec(Regwrena) <= '1';
2738
                        END IF;
2739
                END IF;
2740
 
2741
 
2742
------------------------------------------------------------------------------          
2743
------------------------------------------------------------------------------          
2744
                IF set_Z_error='1'  THEN                -- divu by zero
2745
                        trapmake <= '1';                        --wichtig for USP
2746
                        IF trapd='0' THEN
2747
                                writePC <= '1';
2748
                        END IF;
2749
                END IF;
2750
 
2751
-----------------------------------------------------------------------------
2752
-- execute microcode
2753
-----------------------------------------------------------------------------
2754
                IF rising_edge(clk) THEN
2755
                IF Reset='1' THEN
2756
                                micro_state <= ld_nn;
2757
                        ELSIF clkena_lw='1' THEN
2758
                                trapd <= trapmake;
2759
                                micro_state <= next_micro_state;
2760
                        END IF;
2761
                END IF;
2762
 
2763
                        CASE micro_state IS
2764
                                WHEN ld_nn =>           -- (nnnn).w/l=>
2765
                                        set(get_ea_now) <='1';
2766
                                        setnextpass <= '1';
2767
                                        set(addrlong) <= '1';
2768
 
2769
                                WHEN st_nn =>           -- =>(nnnn).w/l
2770
                                        setstate <= "11";
2771
                                        set(addrlong) <= '1';
2772
                                        next_micro_state <= nop;
2773
 
2774
                                WHEN ld_dAn1 =>         -- d(An)=>, --d(PC)=>
2775
                                        set(get_ea_now) <='1';
2776
                                        setdisp <= '1';         --word
2777
                                        setnextpass <= '1';
2778
 
2779
                                WHEN ld_AnXn1 =>                -- d(An,Xn)=>, --d(PC,Xn)=>
2780
                                        IF brief(8)='0' OR extAddr_Mode=0 OR (cpu(1)='0' AND extAddr_Mode=2) THEN
2781
                                                setdisp <= '1';         --byte  
2782
                                                setdispbyte <= '1';
2783
                                                setstate <= "01";
2784
                                                set(briefext) <= '1';
2785
                                                next_micro_state <= ld_AnXn2;
2786
                                        ELSE
2787
                                                IF brief(7)='1'THEN             --suppress Base
2788
                                                        set_suppress_base <= '1';
2789
                                                ELSIF exec(dispouter)='1' THEN
2790
                                                        set(dispouter) <= '1';
2791
                                                END IF;
2792
                                                IF brief(5)='0' THEN --NULL Base Displacement
2793
                                                        setstate <= "01";
2794
                                                ELSE  --WORD Base Displacement
2795
                                                        IF brief(4)='1' THEN
2796
                                                                set(longaktion) <= '1'; --LONG Base Displacement
2797
                                                        END IF;
2798
                                                END IF;
2799
                                                next_micro_state <= ld_229_1;
2800
                                        END IF;
2801
 
2802
                                WHEN ld_AnXn2 =>
2803
                                        set(get_ea_now) <='1';
2804
                                        setdisp <= '1';         --brief
2805
                                        setnextpass <= '1';
2806
 
2807
-------------------------------------------------------------------------------------                                   
2808
 
2809
                                WHEN ld_229_1 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2810
                                        IF brief(5)='1' THEN    --Base Displacement
2811
                                                setdisp <= '1';         --add last_data_read
2812
                                        END IF;
2813
                                        IF brief(6)='0' AND brief(2)='0' THEN --Preindex or Index
2814
                                                set(briefext) <= '1';
2815
                                                setstate <= "01";
2816
                                                IF brief(1 downto 0)="00" THEN
2817
                                                        next_micro_state <= ld_AnXn2;
2818
                                                ELSE
2819
                                                        next_micro_state <= ld_229_2;
2820
                                                END IF;
2821
                                        ELSE
2822
                                                IF brief(1 downto 0)="00" THEN
2823
                                                        set(get_ea_now) <='1';
2824
                                                        setnextpass <= '1';
2825
                                                ELSE
2826
                                                        setstate <= "10";
2827
                                                        set(longaktion) <= '1';
2828
                                                        next_micro_state <= ld_229_3;
2829
                                                END IF;
2830
                                        END IF;
2831
 
2832
                                WHEN ld_229_2 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2833
                                        setdisp <= '1';         -- add Index
2834
                                        setstate <= "10";
2835
                                        set(longaktion) <= '1';
2836
                                        next_micro_state <= ld_229_3;
2837
 
2838
                                WHEN ld_229_3 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2839
                                        set_suppress_base <= '1';
2840
                                        set(dispouter) <= '1';
2841
                                        IF brief(1)='0' THEN --NULL Outer Displacement
2842
                                                setstate <= "01";
2843
                                        ELSE  --WORD Outer Displacement
2844
                                                IF brief(0)='1' THEN
2845
                                                        set(longaktion) <= '1'; --LONG Outer Displacement
2846
                                                END IF;
2847
                                        END IF;
2848
                                        next_micro_state <= ld_229_4;
2849
 
2850
                                WHEN ld_229_4 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2851
                                        IF brief(1)='1' THEN  -- Outer Displacement
2852
                                                setdisp <= '1';   --add last_data_read
2853
                                        END IF;
2854
                                        IF brief(6)='0' AND brief(2)='1' THEN --Postindex
2855
                                                set(briefext) <= '1';
2856
                                                setstate <= "01";
2857
                                                next_micro_state <= ld_AnXn2;
2858
                                        ELSE
2859
                                                set(get_ea_now) <='1';
2860
                                                setnextpass <= '1';
2861
                                        END IF;
2862
 
2863
----------------------------------------------------------------------------------------                                
2864
                                WHEN st_dAn1 =>         -- =>d(An)
2865
                                        setstate <= "11";
2866
                                        setdisp <= '1';         --word
2867
                                        next_micro_state <= nop;
2868
 
2869
                                WHEN st_AnXn1 =>                -- =>d(An,Xn)
2870
                                        IF brief(8)='0' OR extAddr_Mode=0 OR (cpu(1)='0' AND extAddr_Mode=2) THEN
2871
                                                setdisp <= '1';         --byte  
2872
                                                setdispbyte <= '1';
2873
                                                setstate <= "01";
2874
                                                set(briefext) <= '1';
2875
                                                next_micro_state <= st_AnXn2;
2876
                                        ELSE
2877
                                                IF brief(7)='1'THEN             --suppress Base
2878
                                                        set_suppress_base <= '1';
2879
--                                              ELSIF exec(dispouter)='1' THEN
2880
--                                                      set(dispouter) <= '1';
2881
                                                END IF;
2882
                                                IF brief(5)='0' THEN --NULL Base Displacement
2883
                                                        setstate <= "01";
2884
                                                ELSE  --WORD Base Displacement
2885
                                                        IF brief(4)='1' THEN
2886
                                                                set(longaktion) <= '1'; --LONG Base Displacement
2887
                                                        END IF;
2888
                                                END IF;
2889
                                                next_micro_state <= st_229_1;
2890
                                        END IF;
2891
 
2892
                                WHEN st_AnXn2 =>
2893
                                        setstate <= "11";
2894
                                        setdisp <= '1';         --brief 
2895
                                        next_micro_state <= nop;
2896
 
2897
-------------------------------------------------------------------------------------                                   
2898
 
2899
                                WHEN st_229_1 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2900
                                        IF brief(5)='1' THEN    --Base Displacement
2901
                                                setdisp <= '1';         --add last_data_read
2902
                                        END IF;
2903
                                        IF brief(6)='0' AND brief(2)='0' THEN --Preindex or Index
2904
                                                set(briefext) <= '1';
2905
                                                setstate <= "01";
2906
                                                IF brief(1 downto 0)="00" THEN
2907
                                                        next_micro_state <= st_AnXn2;
2908
                                                ELSE
2909
                                                        next_micro_state <= st_229_2;
2910
                                                END IF;
2911
                                        ELSE
2912
                                                IF brief(1 downto 0)="00" THEN
2913
                                                        setstate <= "11";
2914
                                                        next_micro_state <= nop;
2915
                                                ELSE
2916
                                                        set(hold_dwr) <= '1';
2917
                                                        setstate <= "10";
2918
                                                        set(longaktion) <= '1';
2919
                                                        next_micro_state <= st_229_3;
2920
                                                END IF;
2921
                                        END IF;
2922
 
2923
                                WHEN st_229_2 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2924
                                        setdisp <= '1';         -- add Index
2925
                                        set(hold_dwr) <= '1';
2926
                                        setstate <= "10";
2927
                                        set(longaktion) <= '1';
2928
                                        next_micro_state <= st_229_3;
2929
 
2930
                                WHEN st_229_3 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2931
                                        set(hold_dwr) <= '1';
2932
                                        set_suppress_base <= '1';
2933
                                        set(dispouter) <= '1';
2934
                                        IF brief(1)='0' THEN --NULL Outer Displacement
2935
                                                setstate <= "01";
2936
                                        ELSE  --WORD Outer Displacement
2937
                                                IF brief(0)='1' THEN
2938
                                                        set(longaktion) <= '1'; --LONG Outer Displacement
2939
                                                END IF;
2940
                                        END IF;
2941
                                        next_micro_state <= st_229_4;
2942
 
2943
                                WHEN st_229_4 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2944
                                        set(hold_dwr) <= '1';
2945
                                        IF brief(1)='1' THEN  -- Outer Displacement
2946
                                                setdisp <= '1';   --add last_data_read
2947
                                        END IF;
2948
                                        IF brief(6)='0' AND brief(2)='1' THEN --Postindex
2949
                                                set(briefext) <= '1';
2950
                                                setstate <= "01";
2951
                                                next_micro_state <= st_AnXn2;
2952
                                        ELSE
2953
                                                setstate <= "11";
2954
                                                next_micro_state <= nop;
2955
                                        END IF;
2956
 
2957
----------------------------------------------------------------------------------------                                
2958
                                WHEN bra1 =>            --bra
2959
                                        IF exe_condition='1' THEN
2960
                                                TG68_PC_brw <= '1';     --pc+0000
2961
                                                next_micro_state <= nop;
2962
                                                skipFetch <= '1';
2963
                                        END IF;
2964
 
2965
                                WHEN bsr1 =>            --bsr short
2966
                                        TG68_PC_brw <= '1';
2967
                                        next_micro_state <= nop;
2968
 
2969
                                WHEN bsr2 =>            --bsr
2970
                                        IF long_start='0' THEN
2971
                                                TG68_PC_brw <= '1';
2972
                                        END IF;
2973
                                        skipFetch <= '1';
2974
                                        set(longaktion) <= '1';
2975
                                        writePC <= '1';
2976
                                        setstate <= "11";
2977
                                        next_micro_state <= nopnop;
2978
                                        setstackaddr <='1';
2979
                                WHEN nopnop =>          --bsr
2980
                                        next_micro_state <= nop;
2981
 
2982
                                WHEN dbcc1 =>           --dbcc
2983
                                        IF exe_condition='0' THEN
2984
                                                Regwrena_now <= '1';
2985
                                                IF c_out(1)='1' THEN
2986
                                                        skipFetch <= '1';
2987
                                                        next_micro_state <= nop;
2988
                                                        TG68_PC_brw <= '1';
2989
                                                END IF;
2990
                                        END IF;
2991
 
2992
                                WHEN movem1 =>          --movem
2993
                                        IF last_data_read(15 downto 0)/=X"0000" THEN
2994
                                                setstate <="01";
2995
                                                IF opcode(5 downto 3)="100" THEN
2996
                                                        set(mem_addsub) <= '1';
2997
                                                END IF;
2998
                                                next_micro_state <= movem2;
2999
                                        END IF;
3000
                                WHEN movem2 =>          --movem
3001
                                        IF movem_run='0' THEN
3002
                                                setstate <="01";
3003
                                        ELSE
3004
                                                set(movem_action) <= '1';
3005
                                                set(mem_addsub) <= '1';
3006
                                                next_micro_state <= movem2;
3007
                                                IF opcode(10)='0' THEN
3008
                                                        setstate <="11";
3009
                                                        set(write_reg) <= '1';
3010
                                                ELSE
3011
                                                        setstate <="10";
3012
                                                END IF;
3013
                                        END IF;
3014
 
3015
                                WHEN andi =>            --andi
3016
                                        IF opcode(5 downto 4)/="00" THEN
3017
                                                setnextpass <= '1';
3018
                                        END IF;
3019
 
3020
                                WHEN pack1 =>           -- pack -(Ax),-(Ay)
3021
                                        set(hold_ea_data) <= '1';
3022
                                        set(update_ld) <= '1';
3023
                                        setstate <= "10";
3024
                                        set(presub) <= '1';
3025
                                        next_micro_state <= pack2;
3026
                                        dest_areg <= '1';
3027
                                WHEN pack2 =>
3028
                                        set(hold_ea_data) <= '1';
3029
                                        set_direct_data <= '1';
3030
                                        IF opcode(7 downto 6) = "01" THEN       --pack
3031
                                                datatype <= "00";               --Byte
3032
                                        ELSE                                                            --unpk
3033
                                                datatype <= "01";               --Word
3034
                                        END IF;
3035
                                        set(presub) <= '1';
3036
                                        dest_hbits <= '1';
3037
                                        dest_areg <= '1';
3038
                                        setstate <= "10";
3039
                                        next_micro_state <= pack3;
3040
                                WHEN pack3 =>
3041
                                        skipFetch <= '1';
3042
 
3043
                                WHEN op_AxAy =>         -- op -(Ax),-(Ay)
3044
                                        IF opcode(11 downto 9)="111" THEN
3045
                                                set(use_SP) <= '1';
3046
                                        END IF;
3047
                                        set_direct_data <= '1';
3048
                                        set(presub) <= '1';
3049
                                        dest_hbits <= '1';
3050
                                        dest_areg <= '1';
3051
                                        setstate <= "10";
3052
 
3053
                                WHEN cmpm =>            -- cmpm (Ay)+,(Ax)+
3054
                                        IF opcode(11 downto 9)="111" THEN
3055
                                                set(use_SP) <= '1';
3056
                                        END IF;
3057
                                        set_direct_data <= '1';
3058
                                        set(postadd) <= '1';
3059
                                        dest_hbits <= '1';
3060
                                        dest_areg <= '1';
3061
                                        setstate <= "10";
3062
 
3063
                                WHEN link1 =>           -- link
3064
                                        setstate <="11";
3065
                                        source_areg <= '1';
3066
                                        set(opcMOVE) <= '1';
3067
                                        set(Regwrena) <= '1';
3068
                                        next_micro_state <= link2;
3069
                                WHEN link2 =>           -- link
3070
                                        setstackaddr <='1';
3071
                                        set(ea_data_OP2) <= '1';
3072
 
3073
                                WHEN unlink1 =>         -- unlink
3074
                                        setstate <="10";
3075
                                        setstackaddr <='1';
3076
                                        set(postadd) <= '1';
3077
                                        next_micro_state <= unlink2;
3078
                                WHEN unlink2 =>         -- unlink
3079
                                        set(ea_data_OP2) <= '1';
3080
 
3081
                                WHEN trap0 =>           -- TRAP
3082
                                        set(presub) <= '1';
3083
                                        setstackaddr <='1';
3084
                                        setstate <= "11";
3085
                                        IF VBR_Stackframe=1 OR (cpu(0)='1' AND VBR_Stackframe=2) THEN    --68010
3086
                                                set(writePC_add) <= '1';
3087
                                                datatype <= "01";
3088
--                                              set_datatype <= "10";
3089
                                                next_micro_state <= trap1;
3090
                                        ELSE
3091
                                                IF trap_interrupt='1' OR trap_trace='1' OR trap_berr='1' THEN
3092
                                                        writePC <= '1';
3093
                                                END IF;
3094
                                                datatype <= "10";
3095
                                                next_micro_state <= trap2;
3096
                                        END IF;
3097
                                WHEN trap1 =>           -- TRAP
3098
                                        IF trap_interrupt='1' OR trap_trace='1' THEN
3099
                                                writePC <= '1';
3100
                                        END IF;
3101
                                        set(presub) <= '1';
3102
                                        setstackaddr <='1';
3103
                                        setstate <= "11";
3104
                                        datatype <= "10";
3105
                                        next_micro_state <= trap2;
3106
                                WHEN trap2 =>           -- TRAP
3107
                                        set(presub) <= '1';
3108
                                        setstackaddr <='1';
3109
                                        setstate <= "11";
3110
                                        datatype <= "01";
3111
                                        writeSR <= '1';
3112
                                        IF trap_berr='1' THEN
3113
                                                next_micro_state <= trap4;
3114
                                        ELSE
3115
                                                next_micro_state <= trap3;
3116
                                        END IF;
3117
                                WHEN trap3 =>           -- TRAP
3118
                                        set_vectoraddr <= '1';
3119
                                        datatype <= "10";
3120
                                        set(direct_delta) <= '1';
3121
                                        set(directPC) <= '1';
3122
                                        setstate <= "10";
3123
                                        next_micro_state <= nopnop;
3124
 
3125
                                WHEN trap4 =>           -- TRAP
3126
                                        set(presub) <= '1';
3127
                                        setstackaddr <='1';
3128
                                        setstate <= "11";
3129
                                        datatype <= "01";
3130
                                        writeSR <= '1';
3131
                                        next_micro_state <= trap5;
3132
                                WHEN trap5 =>           -- TRAP
3133
                                        set(presub) <= '1';
3134
                                        setstackaddr <='1';
3135
                                        setstate <= "11";
3136
                                        datatype <= "10";
3137
                                        writeSR <= '1';
3138
                                        next_micro_state <= trap6;
3139
                                WHEN trap6 =>           -- TRAP
3140
                                        set(presub) <= '1';
3141
                                        setstackaddr <='1';
3142
                                        setstate <= "11";
3143
                                        datatype <= "01";
3144
                                        writeSR <= '1';
3145
                                        next_micro_state <= trap3;
3146
 
3147
                                WHEN rte1 =>            -- RTE
3148
                                        datatype <= "10";
3149
                                        setstate <= "10";
3150
                                        set(postadd) <= '1';
3151
                                        setstackaddr <= '1';
3152 4 tobiflex
                                        set(directPC) <= '1';
3153
                                        IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) OR opcode(2)='1' THEN     --opcode(2)='1' => opcode is RTR
3154 2 tobiflex
                                                set(update_FC) <= '1';
3155
                                                set(direct_delta) <= '1';
3156
                                        END IF;
3157
                                        next_micro_state <= rte2;
3158
                                WHEN rte2 =>            -- RTE
3159
                                        datatype <= "01";
3160
                                        set(update_FC) <= '1';
3161 4 tobiflex
                                        IF (VBR_Stackframe=1 OR (cpu(0)='1' AND VBR_Stackframe=2)) AND opcode(2)='0' THEN
3162 2 tobiflex
                                                setstate <= "10";
3163
                                                set(postadd) <= '1';
3164
                                                setstackaddr <= '1';
3165
                                                next_micro_state <= rte3;
3166
                                        ELSE
3167
                                                next_micro_state <= nop;
3168
                                        END IF;
3169
                                WHEN rte3 =>            -- RTE
3170
                                        next_micro_state <= nop;
3171
--                                      set(update_FC) <= '1';
3172
 
3173
 
3174
                                WHEN rtd1 =>            -- RTD
3175
                                        next_micro_state <= rtd2;
3176
                                WHEN rtd2 =>            -- RTD
3177
                                        setstackaddr <= '1';
3178
                                        set(Regwrena) <= '1';
3179
 
3180
                                WHEN movec1 =>          -- MOVEC
3181
                                        set(briefext) <= '1';
3182
                                        set_writePCbig <='1';
3183
                                        IF (brief(11 downto 0)=X"000" OR brief(11 downto 0)=X"001" OR brief(11 downto 0)=X"800" OR brief(11 downto 0)=X"801") OR
3184
                                           (cpu(1)='1' AND (brief(11 downto 0)=X"002" OR brief(11 downto 0)=X"802" OR brief(11 downto 0)=X"803" OR brief(11 downto 0)=X"804")) THEN
3185
                                                IF opcode(0)='0' THEN
3186
                                                        set(Regwrena) <= '1';
3187
                                                END IF;
3188
--                                      ELSIF brief(11 downto 0)=X"800"OR brief(11 downto 0)=X"001" OR brief(11 downto 0)=X"000" THEN
3189
--                                              trap_addr_error <= '1';
3190
--                                              trapmake <= '1';
3191
                                        ELSE
3192
                                                trap_illegal <= '1';
3193
                                                trapmake <= '1';
3194
                                        END IF;
3195
 
3196
                                WHEN movep1 =>          -- MOVEP d(An)
3197
                                        setdisp <= '1';
3198
                                        set(mem_addsub) <= '1';
3199
                                        set(mem_byte) <= '1';
3200
                                        set(OP1addr) <= '1';
3201
                                        IF opcode(6)='1' THEN
3202
                                                set(movepl) <= '1';
3203
                                        END IF;
3204
                                        IF opcode(7)='0' THEN
3205
                                                setstate <= "10";
3206
                                        ELSE
3207
                                                setstate <= "11";
3208
                                        END IF;
3209
                                        next_micro_state <= movep2;
3210
                                WHEN movep2 =>
3211
                                        IF opcode(6)='1' THEN
3212
                                                set(mem_addsub) <= '1';
3213
                                            set(OP1addr) <= '1';
3214
                                        END IF;
3215
                                        IF opcode(7)='0' THEN
3216
                                                setstate <= "10";
3217
                                        ELSE
3218
                                                setstate <= "11";
3219
                                        END IF;
3220
                                        next_micro_state <= movep3;
3221
                                WHEN movep3 =>
3222
                                        IF opcode(6)='1' THEN
3223
                                                set(mem_addsub) <= '1';
3224
                                            set(OP1addr) <= '1';
3225
                                                set(mem_byte) <= '1';
3226
                                                IF opcode(7)='0' THEN
3227
                                                        setstate <= "10";
3228
                                                ELSE
3229
                                                        setstate <= "11";
3230
                                                END IF;
3231
                                                next_micro_state <= movep4;
3232
                                        ELSE
3233
                                                datatype <= "01";               --Word
3234
                                        END IF;
3235
                                WHEN movep4 =>
3236
                                        IF opcode(7)='0' THEN
3237
                                                setstate <= "10";
3238
                                        ELSE
3239
                                                setstate <= "11";
3240
                                        END IF;
3241
                                        next_micro_state <= movep5;
3242
                                WHEN movep5 =>
3243
                                        datatype <= "10";               --Long
3244
 
3245
                                WHEN mul1       =>              -- mulu
3246
                                        IF opcode(15)='1' OR MUL_Mode=0 THEN
3247
                                                set_rot_cnt <= "001110";
3248
                                        ELSE
3249
                                                set_rot_cnt <= "011110";
3250
                                        END IF;
3251
                                        setstate <="01";
3252
                                        next_micro_state <= mul2;
3253
                                WHEN mul2       =>              -- mulu
3254
                                        setstate <="01";
3255
                                        IF rot_cnt="00001" THEN
3256
                                                next_micro_state <= mul_end1;
3257
                                        ELSE
3258
                                                next_micro_state <= mul2;
3259
                                        END IF;
3260
                                WHEN mul_end1   =>              -- mulu
3261
                                        datatype <= "10";
3262
                                        set(opcMULU) <= '1';
3263
                                        IF opcode(15)='0' AND (MUL_Mode=1 OR MUL_Mode=2) THEN
3264
                                                dest_2ndHbits <= '1';
3265
--                                              source_2ndLbits <= '1';--???
3266
                                                set(write_lowlong) <= '1';
3267
                                                IF sndOPC(10)='1' THEN
3268
                                                        setstate <="01";
3269
                                                        next_micro_state <= mul_end2;
3270
                                                END IF;
3271
                                                set(Regwrena) <= '1';
3272
                                        END IF;
3273
                                        datatype <= "10";
3274
                                WHEN mul_end2   =>              -- divu
3275
                                        set(write_reminder) <= '1';
3276
                                        set(Regwrena) <= '1';
3277
                                        set(opcMULU) <= '1';
3278
 
3279
                                WHEN div1       =>              -- divu
3280
                                        setstate <="01";
3281
                                        next_micro_state <= div2;
3282
                                WHEN div2       =>              -- divu
3283
                                        IF (OP2out(31 downto 16)=x"0000" OR opcode(15)='1' OR DIV_Mode=0) AND OP2out(15 downto 0)=x"0000" THEN            --div zero
3284
                                                set_Z_error <= '1';
3285
                                        ELSE
3286
                                                next_micro_state <= div3;
3287
                                        END IF;
3288
                                        set(ld_rot_cnt) <= '1';
3289
                                        setstate <="01";
3290
                                WHEN div3       =>              -- divu
3291
                                        IF opcode(15)='1' OR DIV_Mode=0 THEN
3292
                                                set_rot_cnt <= "001101";
3293
                                        ELSE
3294
                                                set_rot_cnt <= "011101";
3295
                                        END IF;
3296
                                        setstate <="01";
3297
                                        next_micro_state <= div4;
3298
                                WHEN div4       =>              -- divu
3299
                                        setstate <="01";
3300
                                        IF rot_cnt="00001" THEN
3301
                                                next_micro_state <= div_end1;
3302
                                        ELSE
3303
                                                next_micro_state <= div4;
3304
                                        END IF;
3305
                                WHEN div_end1   =>              -- divu
3306
                                        IF opcode(15)='0' AND (DIV_Mode=1 OR DIV_Mode=2) THEN
3307
                                                set(write_reminder) <= '1';
3308
                                                next_micro_state <= div_end2;
3309
                                                setstate <="01";
3310
                                        END IF;
3311
                                        set(opcDIVU) <= '1';
3312
                                        datatype <= "10";
3313
                                WHEN div_end2   =>              -- divu
3314
                                        dest_2ndHbits <= '1';
3315
                                        source_2ndLbits <= '1';--???
3316
                                        set(opcDIVU) <= '1';
3317
 
3318
                                WHEN rota1      =>
3319
                                        IF OP2out(5 downto 0)/="000000" THEN
3320
                                                set_rot_cnt <= OP2out(5 downto 0);
3321
                                        ELSE
3322
                                                set_exec(rot_nop) <= '1';
3323
                                        END IF;
3324
 
3325
                                WHEN bf1 =>
3326
                                        setstate <="10";
3327
 
3328
                                WHEN OTHERS => NULL;
3329
                        END CASE;
3330
        END PROCESS;
3331
 
3332
-----------------------------------------------------------------------------
3333
-- MOVEC
3334
-----------------------------------------------------------------------------
3335
  process (clk, VBR, CACR, brief)
3336
  begin
3337
        -- all other hexa codes should give illegal isntruction exception
3338
        if rising_edge(clk) then
3339
          if Reset = '1' then
3340
                VBR <= (others => '0');
3341
                CACR <= (others => '0');
3342
          elsif clkena_lw = '1' and exec(movec_wr) = '1' then
3343
                case brief(11 downto 0) is
3344
                  when X"000" => NULL; -- SFC -- 68010+
3345
                  when X"001" => NULL; -- DFC -- 68010+
3346
                  when X"002" => CACR <= reg_QA(3 downto 0); -- 68020+
3347
                  when X"800" => NULL; -- USP -- 68010+
3348
                  when X"801" => VBR <= reg_QA; -- 68010+
3349
                  when X"802" => NULL; -- CAAR -- 68020+
3350
                  when X"803" => NULL; -- MSP -- 68020+
3351
                  when X"804" => NULL; -- isP -- 68020+
3352
                  when others => NULL;
3353
                end case;
3354
          end if;
3355
        end if;
3356
 
3357
        movec_data <= (others => '0');
3358
        case brief(11 downto 0) is
3359
          when X"002" => movec_data <= "0000000000000000000000000000" & (CACR AND "0011");
3360
 
3361
          when X"801" => --if VBR_Stackframe=1 or (cpu(0)='1' and VBR_Stackframe=2) then
3362
                movec_data <= VBR;
3363
                --end if;
3364
          when others => NULL;
3365
        end case;
3366
  end process;
3367
 
3368
  CACR_out <= CACR;
3369
  VBR_out <= VBR;
3370
-----------------------------------------------------------------------------
3371
-- Conditions
3372
-----------------------------------------------------------------------------
3373
PROCESS (exe_opcode, Flags)
3374
        BEGIN
3375
                CASE exe_opcode(11 downto 8) IS
3376
                        WHEN X"0" => exe_condition <= '1';
3377
                        WHEN X"1" => exe_condition <= '0';
3378
                        WHEN X"2" => exe_condition <=  NOT Flags(0) AND NOT Flags(2);
3379
                        WHEN X"3" => exe_condition <= Flags(0) OR Flags(2);
3380
                        WHEN X"4" => exe_condition <= NOT Flags(0);
3381
                        WHEN X"5" => exe_condition <= Flags(0);
3382
                        WHEN X"6" => exe_condition <= NOT Flags(2);
3383
                        WHEN X"7" => exe_condition <= Flags(2);
3384
                        WHEN X"8" => exe_condition <= NOT Flags(1);
3385
                        WHEN X"9" => exe_condition <= Flags(1);
3386
                        WHEN X"a" => exe_condition <= NOT Flags(3);
3387
                        WHEN X"b" => exe_condition <= Flags(3);
3388
                        WHEN X"c" => exe_condition <= (Flags(3) AND Flags(1)) OR (NOT Flags(3) AND NOT Flags(1));
3389
                        WHEN X"d" => exe_condition <= (Flags(3) AND NOT Flags(1)) OR (NOT Flags(3) AND Flags(1));
3390
                        WHEN X"e" => exe_condition <= (Flags(3) AND Flags(1) AND NOT Flags(2)) OR (NOT Flags(3) AND NOT Flags(1) AND NOT Flags(2));
3391
                        WHEN X"f" => exe_condition <= (Flags(3) AND NOT Flags(1)) OR (NOT Flags(3) AND Flags(1)) OR Flags(2);
3392
                        WHEN OTHERS => NULL;
3393
                END CASE;
3394
        END PROCESS;
3395
 
3396
-----------------------------------------------------------------------------
3397
-- Movem
3398
-----------------------------------------------------------------------------
3399
PROCESS (clk)
3400
        BEGIN
3401
                IF rising_edge(clk) THEN
3402
                        IF clkena_lw='1' THEN
3403
                                movem_actiond <= exec(movem_action);
3404
                                IF decodeOPC='1' THEN
3405
                                        sndOPC <= data_read(15 downto 0);
3406
                                ELSIF exec(movem_action)='1' OR set(movem_action) ='1' THEN
3407
                                        CASE movem_regaddr IS
3408
                                                WHEN "0000" => sndOPC(0)  <= '0';
3409
                                                WHEN "0001" => sndOPC(1)  <= '0';
3410
                                                WHEN "0010" => sndOPC(2)  <= '0';
3411
                                                WHEN "0011" => sndOPC(3)  <= '0';
3412
                                                WHEN "0100" => sndOPC(4)  <= '0';
3413
                                                WHEN "0101" => sndOPC(5)  <= '0';
3414
                                                WHEN "0110" => sndOPC(6)  <= '0';
3415
                                                WHEN "0111" => sndOPC(7)  <= '0';
3416
                                                WHEN "1000" => sndOPC(8)  <= '0';
3417
                                                WHEN "1001" => sndOPC(9)  <= '0';
3418
                                                WHEN "1010" => sndOPC(10) <= '0';
3419
                                                WHEN "1011" => sndOPC(11) <= '0';
3420
                                                WHEN "1100" => sndOPC(12) <= '0';
3421
                                                WHEN "1101" => sndOPC(13) <= '0';
3422
                                                WHEN "1110" => sndOPC(14) <= '0';
3423
                                                WHEN "1111" => sndOPC(15) <= '0';
3424
                                                WHEN OTHERS => NULL;
3425
                                        END CASE;
3426
                                END IF;
3427
                        END IF;
3428
                END IF;
3429
        END PROCESS;
3430
 
3431
PROCESS (sndOPC, movem_mux)
3432
        BEGIN
3433
                movem_regaddr <="0000";
3434
                movem_run <= '1';
3435
                IF sndOPC(3 downto 0)="0000" THEN
3436
                        IF sndOPC(7 downto 4)="0000" THEN
3437
                                movem_regaddr(3) <= '1';
3438
                                IF sndOPC(11 downto 8)="0000" THEN
3439
                                        IF sndOPC(15 downto 12)="0000" THEN
3440
                                                movem_run <= '0';
3441
                                        END IF;
3442
                                        movem_regaddr(2) <= '1';
3443
                                        movem_mux <= sndOPC(15 downto 12);
3444
                                ELSE
3445
                                        movem_mux <= sndOPC(11 downto 8);
3446
                                END IF;
3447
                        ELSE
3448
                                movem_mux <= sndOPC(7 downto 4);
3449
                                movem_regaddr(2) <= '1';
3450
                        END IF;
3451
                ELSE
3452
                        movem_mux <= sndOPC(3 downto 0);
3453
                END IF;
3454
                IF movem_mux(1 downto 0)="00" THEN
3455
                        movem_regaddr(1) <= '1';
3456
                        IF movem_mux(2)='0' THEN
3457
                                movem_regaddr(0) <= '1';
3458
                        END IF;
3459
                ELSE
3460
                        IF movem_mux(0)='0' THEN
3461
                                movem_regaddr(0) <= '1';
3462
                        END IF;
3463
                END  IF;
3464
        END PROCESS;
3465
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.