OpenCores
URL https://opencores.org/ocsvn/tg68kc/tg68kc/trunk

Subversion Repositories tg68kc

[/] [tg68kc/] [trunk/] [TG68KdotC_Kernel.vhd] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobiflex
------------------------------------------------------------------------------
2
------------------------------------------------------------------------------
3
--                                                                          --
4 4 tobiflex
-- Copyright (c) 2009-2019 Tobias Gubener                                   -- 
5
-- Patches by MikeJ, Till Harbaum, Rok Krajnk, ...                          --
6 2 tobiflex
-- Subdesign fAMpIGA by TobiFlex                                            --
7
--                                                                          --
8
-- This source file is free software: you can redistribute it and/or modify --
9
-- it under the terms of the GNU Lesser General Public License as published --
10
-- by the Free Software Foundation, either version 3 of the License, or     --
11
-- (at your option) any later version.                                      --
12
--                                                                          --
13
-- This source file is distributed in the hope that it will be useful,      --
14
-- but WITHOUT ANY WARRANTY; without even the implied warranty of           --
15
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            --
16
-- GNU General Public License for more details.                             --
17
--                                                                          --
18
-- You should have received a copy of the GNU General Public License        --
19
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.    --
20
--                                                                          --
21
------------------------------------------------------------------------------
22
------------------------------------------------------------------------------
23
 
24 4 tobiflex
-- 30.10.2019 TG bugfix RTR in 68020-mode
25
-- 30.10.2019 TG bugfix BFINS again
26
-- 19.10.2019 TG insert some bugfixes from apolkosnik
27 2 tobiflex
-- 05.12.2018 TG insert RTD opcode
28
-- 03.12.2018 TG insert barrel shifter
29
-- 01.11.2017 TG bugfix V-Flag for ASL/ASR - thanks Peter Graf
30
-- 29.05.2017 TG decode 0x4AFB as illegal, needed for QL BKP - thanks Peter Graf
31
-- 21.05.2017 TG insert generic for hardware multiplier for MULU & MULS
32
-- 04.04.2017 TG change GPL to LGPL
33
-- 04.04.2017 TG BCD handling with all undefined behavior! 
34
-- 02.04.2017 TG bugfix Bitfield Opcodes 
35
-- 19.03.2017 TG insert PACK/UNPACK  
36
-- 19.03.2017 TG bugfix CMPI ...(PC) - thanks Till Harbaum
37
--     ???    MJ bugfix non_aligned movem access
38
-- add berr handling 10.03.2013 - needed for ATARI Core
39
 
40
-- bugfix session 07/08.Feb.2013
41
-- movem ,-(an)
42
-- movem (an)+,          - thanks  Gerhard Suttner
43
-- btst dn,#data         - thanks  Peter Graf
44
-- movep                 - thanks  Till Harbaum
45
-- IPL vector            - thanks  Till Harbaum
46
--  
47
 
48
-- optimize Register file
49
 
50
-- to do 68010:
51
-- (MOVEC)
52
-- BKPT
53
-- MOVES
54
--
55
-- to do 68020:
56
-- (CALLM)
57
-- (RETM)
58
 
59
-- CAS, CAS2
60
-- CHK2
61
-- CMP2
62
-- cpXXX Coprozessor stuff
63
-- TRAPcc
64
 
65
-- done 020:
66
-- PACK
67
-- UNPK
68
-- Bitfields
69
-- address modes
70
-- long bra
71
-- DIVS.L, DIVU.L
72
-- LINK long
73
-- MULS.L, MULU.L
74
-- extb.l
75
 
76
library ieee;
77
use ieee.std_logic_1164.all;
78
use ieee.std_logic_unsigned.all;
79
use work.TG68K_Pack.all;
80
 
81
entity TG68KdotC_Kernel is
82
        generic(
83 5 tobiflex
                SR_Read : integer:= 1;                          --0=>user,              1=>privileged,          2=>switchable with CPU(0)
84
                VBR_Stackframe : integer:= 1;           --0=>no,                        1=>yes/extended,        2=>switchable with CPU(0)
85
                extAddr_Mode : integer:= 1;             --0=>no,                        1=>yes,                         2=>switchable with CPU(1)
86
                MUL_Mode : integer := 1;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no MUL,  
87
                MUL_Hardware : integer := 1;            --0=>no,                        1=>yes,  
88
                DIV_Mode : integer := 1;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no DIV,  
89
                BarrelShifter : integer := 2;           --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
90
                BitField : integer := 1                         --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
91
--              SR_Read : integer:= 0;                          --0=>user,              1=>privileged,          2=>switchable with CPU(0)
92
--              VBR_Stackframe : integer:= 0;           --0=>no,                        1=>yes/extended,        2=>switchable with CPU(0)
93
--              extAddr_Mode : integer:= 0;             --0=>no,                        1=>yes,                         2=>switchable with CPU(1)
94
--              MUL_Mode : integer := 0;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no MUL,  
95
--              MUL_Hardware : integer := 1;            --0=>no,                        1=>yes,  
96
--              DIV_Mode : integer := 0;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no DIV,  
97
--              BarrelShifter : integer := 0;           --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
98
--              BitField : integer := 0                         --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
99 2 tobiflex
                );
100 5 tobiflex
        port(clk                                                : in std_logic;
101
                nReset                                  : in std_logic;                 --low active
102
                clkena_in                               : in std_logic:='1';
103
                data_in                                 : in std_logic_vector(15 downto 0);
104
                IPL                                             : in std_logic_vector(2 downto 0):="111";
105
                IPL_autovector                  : in std_logic:='0';
106
                berr                                            : in std_logic:='0';                                     -- only 68000 Stackpointer dummy
107
                CPU                                             : in std_logic_vector(1 downto 0):="00";  -- 00->68000  01->68010  11->68020(only some parts - yet)
108
                addr_out                                        : out std_logic_vector(31 downto 0);
109
                data_write                              : out std_logic_vector(15 downto 0);
110
                nWr                                             : out std_logic;
111
                nUDS                                            : out std_logic;
112
                nLDS                                            : out std_logic;
113
                busstate                                        : out std_logic_vector(1 downto 0);      -- 00-> fetch code 10->read data 11->write data 01->no memaccess
114
                nResetOut                               : out std_logic;
115
                FC                                                      : out std_logic_vector(2 downto 0);
116
                clr_berr                                        : out std_logic;
117
-- for debug
118
                skipFetch                               : out std_logic;
119
                regin_out                               : out std_logic_vector(31 downto 0);
120
                CACR_out                                        : out std_logic_vector( 3 downto 0);
121
                VBR_out                                 : out std_logic_vector(31 downto 0)
122 4 tobiflex
                );
123 2 tobiflex
end TG68KdotC_Kernel;
124
 
125
architecture logic of TG68KdotC_Kernel is
126
 
127
 
128 4 tobiflex
        signal syncReset                        : std_logic_vector(3 downto 0);
129
        signal Reset                            : std_logic;
130
        signal clkena_lw                        : std_logic;
131
        signal TG68_PC                          : std_logic_vector(31 downto 0);
132
        signal tmp_TG68_PC              : std_logic_vector(31 downto 0);
133
        signal TG68_PC_add              : std_logic_vector(31 downto 0);
134
        signal PC_dataa                 : std_logic_vector(31 downto 0);
135
        signal PC_datab                 : std_logic_vector(31 downto 0);
136
        signal memaddr                          : std_logic_vector(31 downto 0);
137
        signal state                            : std_logic_vector(1 downto 0);
138
        signal datatype                 : std_logic_vector(1 downto 0);
139
        signal set_datatype             : std_logic_vector(1 downto 0);
140
        signal exe_datatype             : std_logic_vector(1 downto 0);
141
        signal setstate                 : std_logic_vector(1 downto 0);
142 2 tobiflex
 
143 4 tobiflex
        signal opcode                           : std_logic_vector(15 downto 0);
144
        signal exe_opcode                       : std_logic_vector(15 downto 0);
145
        signal sndOPC                           : std_logic_vector(15 downto 0);
146 2 tobiflex
 
147 4 tobiflex
        signal last_opc_read            : std_logic_vector(15 downto 0);
148
        signal registerin                       : std_logic_vector(31 downto 0);
149
        signal reg_QA                           : std_logic_vector(31 downto 0);
150
        signal reg_QB                           : std_logic_vector(31 downto 0);
151
        signal Wwrena,Lwrena            : bit;
152
        signal Bwrena                           : bit;
153
        signal Regwrena_now             : bit;
154 2 tobiflex
        signal rf_dest_addr             : std_logic_vector(3 downto 0);
155
        signal rf_source_addr   : std_logic_vector(3 downto 0);
156
        signal rf_source_addrd  : std_logic_vector(3 downto 0);
157
 
158 4 tobiflex
        signal regin                            : std_logic_vector(31 downto 0);
159
        type   regfile_t is array(0 to 15) of std_logic_vector(31 downto 0);
160
        signal regfile                          : regfile_t := (OTHERS => (OTHERS => '0')); -- mikej stops sim X issues;
161
        signal RDindex_A                        : integer range 0 to 15;
162
        signal RDindex_B                        : integer range 0 to 15;
163
        signal WR_AReg                          : std_logic;
164 2 tobiflex
 
165
 
166 4 tobiflex
        signal addr                                     : std_logic_vector(31 downto 0);
167
        signal memaddr_reg              : std_logic_vector(31 downto 0);
168
        signal memaddr_delta            : std_logic_vector(31 downto 0);
169
        signal use_base                 : bit;
170 2 tobiflex
 
171 4 tobiflex
        signal ea_data                          : std_logic_vector(31 downto 0);
172
        signal OP1out                           : std_logic_vector(31 downto 0);
173
        signal OP2out                           : std_logic_vector(31 downto 0);
174
        signal OP1outbrief              : std_logic_vector(15 downto 0);
175
        signal OP1in                            : std_logic_vector(31 downto 0);
176
        signal ALUout   : std_logic_vector(31 downto 0);
177
        signal data_write_tmp   : std_logic_vector(31 downto 0);
178
        signal data_write_muxin : std_logic_vector(31 downto 0);
179
        signal data_write_mux   : std_logic_vector(47 downto 0);
180
        signal nextpass                 : bit;
181
        signal setnextpass              : bit;
182
        signal setdispbyte              : bit;
183
        signal setdisp                          : bit;
184
        signal regdirectsource  :bit;           -- checken !!!
185
        signal addsub_q                 : std_logic_vector(31 downto 0);
186
        signal briefdata                        : std_logic_vector(31 downto 0);
187
--      signal c_in                             : std_logic_vector(3 downto 0);
188
        signal c_out                            : std_logic_vector(2 downto 0);
189 2 tobiflex
 
190 4 tobiflex
        signal mem_address              : std_logic_vector(31 downto 0);
191
        signal memaddr_a                        : std_logic_vector(31 downto 0);
192 2 tobiflex
 
193 4 tobiflex
        signal TG68_PC_brw              : bit;
194
        signal TG68_PC_word             : bit;
195
        signal getbrief                 : bit;
196
        signal brief                            : std_logic_vector(15 downto 0);
197
        signal dest_areg                        : std_logic;
198
        signal source_areg              : std_logic;
199
        signal data_is_source   : bit;
200
        signal store_in_tmp             : bit;
201
        signal write_back                       : bit;
202
        signal exec_write_back  : bit;
203
        signal setstackaddr             : bit;
204
        signal writePC                          : bit;
205
        signal writePCbig                       : bit;
206
        signal set_writePCbig   : bit;
207
        signal setopcode                        : bit;
208
        signal decodeOPC                        : bit;
209
        signal execOPC                          : bit;
210
        signal setexecOPC                       : bit;
211
        signal endOPC                           : bit;
212
        signal setendOPC                        : bit;
213
        signal Flags                            : std_logic_vector(7 downto 0);  -- ...XNZVC
214
        signal FlagsSR                          : std_logic_vector(7 downto 0);  -- T.S.0III
215
        signal SRin                                     : std_logic_vector(7 downto 0);
216
        signal exec_DIRECT              : bit;
217
        signal exec_tas                 : std_logic;
218
        signal set_exec_tas             : std_logic;
219 2 tobiflex
 
220 4 tobiflex
        signal exe_condition            : std_logic;
221
        signal ea_only                          : bit;
222
        signal source_lowbits   : bit;
223
        signal source_2ndHbits  : bit;
224
        signal source_2ndLbits  : bit;
225
        signal dest_2ndHbits            : bit;
226
        signal dest_hbits                       : bit;
227
        signal rot_bits                 : std_logic_vector(1 downto 0);
228
        signal set_rot_bits             : std_logic_vector(1 downto 0);
229
        signal rot_cnt                          : std_logic_vector(5 downto 0);
230
        signal set_rot_cnt              : std_logic_vector(5 downto 0);
231
        signal movem_actiond            : bit;
232
        signal movem_regaddr            : std_logic_vector(3 downto 0);
233
        signal movem_mux                        : std_logic_vector(3 downto 0);
234
        signal movem_presub             : bit;
235
        signal movem_run                        : bit;
236
        signal ea_calc_b                        : std_logic_vector(31 downto 0);
237
        signal set_direct_data  : bit;
238
        signal use_direct_data  : bit;
239
        signal direct_data              : bit;
240 2 tobiflex
 
241 4 tobiflex
        signal set_V_Flag                       : bit;
242
        signal set_vectoraddr   : bit;
243
        signal writeSR                          : bit;
244
        signal trap_berr                        : bit;
245
        signal trap_illegal             : bit;
246
        signal trap_addr_error  : bit;
247
        signal trap_priv                        : bit;
248
        signal trap_trace                       : bit;
249
        signal trap_1010                        : bit;
250
        signal trap_1111                        : bit;
251
        signal trap_trap                        : bit;
252
        signal trap_trapv                       : bit;
253
        signal trap_interrupt   : bit;
254
        signal trapmake                 : bit;
255
        signal trapd                            : bit;
256
        signal trap_SR                          : std_logic_vector(7 downto 0);
257
        signal make_trace                       : std_logic;
258
        signal make_berr                        : std_logic;
259 2 tobiflex
 
260 4 tobiflex
        signal set_stop                 : bit;
261
        signal stop                                     : bit;
262
        signal trap_vector              : std_logic_vector(31 downto 0);
263
        signal trap_vector_vbr  : std_logic_vector(31 downto 0);
264
        signal USP                                      : std_logic_vector(31 downto 0);
265
--      signal illegal_write_mode       : bit;
266
--      signal illegal_read_mode        : bit;
267
--      signal illegal_byteaddr         : bit;
268 2 tobiflex
 
269 4 tobiflex
        signal IPL_nr                           : std_logic_vector(2 downto 0);
270
        signal rIPL_nr                          : std_logic_vector(2 downto 0);
271
        signal IPL_vec                          : std_logic_vector(7 downto 0);
272
        signal interrupt                        : bit;
273
        signal setinterrupt             : bit;
274
        signal SVmode                           : std_logic;
275
        signal preSVmode                        : std_logic;
276
        signal Suppress_Base            : bit;
277
        signal set_Suppress_Base: bit;
278
        signal set_Z_error              : bit;
279
        signal Z_error                  : bit;
280
        signal ea_build_now             : bit;
281
        signal build_logical            : bit;
282
        signal build_bcd                        : bit;
283 2 tobiflex
 
284 4 tobiflex
        signal data_read                        : std_logic_vector(31 downto 0);
285
        signal bf_ext_in                        : std_logic_vector(7 downto 0);
286
        signal bf_ext_out                       : std_logic_vector(7 downto 0);
287
--      signal byte                                     : bit;
288
        signal long_start                       : bit;
289 2 tobiflex
        signal long_start_alu   : bit;
290 4 tobiflex
        signal non_aligned              : std_logic;
291
        signal long_done                        : bit;
292
        signal memmask                          : std_logic_vector(5 downto 0);
293
        signal set_memmask              : std_logic_vector(5 downto 0);
294
        signal memread                          : std_logic_vector(3 downto 0);
295
        signal wbmemmask                        : std_logic_vector(5 downto 0);
296
        signal memmaskmux                       : std_logic_vector(5 downto 0);
297
        signal oddout                           : std_logic;
298
        signal set_oddout                       : std_logic;
299
        signal PCbase                           : std_logic;
300
        signal set_PCbase                       : std_logic;
301 2 tobiflex
 
302 4 tobiflex
        signal last_data_read   : std_logic_vector(31 downto 0);
303
        signal last_data_in             : std_logic_vector(31 downto 0);
304 2 tobiflex
 
305 4 tobiflex
        signal bf_offset                        : std_logic_vector(5 downto 0);
306
        signal bf_width                 : std_logic_vector(5 downto 0);
307
        signal bf_bhits                 : std_logic_vector(5 downto 0);
308
        signal bf_shift                 : std_logic_vector(5 downto 0);
309
        signal alu_width                        : std_logic_vector(5 downto 0);
310
        signal alu_bf_shift             : std_logic_vector(5 downto 0);
311
        signal bf_loffset                       : std_logic_vector(5 downto 0);
312
        signal bf_full_offset   : std_logic_vector(31 downto 0);
313
        signal alu_bf_ffo_offset: std_logic_vector(31 downto 0);
314
        signal alu_bf_loffset   : std_logic_vector(5 downto 0);
315 2 tobiflex
 
316 4 tobiflex
        signal movec_data                       : std_logic_vector(31 downto 0);
317
        signal VBR                                      : std_logic_vector(31 downto 0);
318
        signal CACR                                     : std_logic_vector(3 downto 0);
319
        signal DFC                                      : std_logic_vector(2 downto 0);
320
        signal SFC                                      : std_logic_vector(2 downto 0);
321 2 tobiflex
 
322
 
323 4 tobiflex
        signal set                                      : bit_vector(lastOpcBit downto 0);
324
        signal set_exec                 : bit_vector(lastOpcBit downto 0);
325
        signal exec                                     : bit_vector(lastOpcBit downto 0);
326 2 tobiflex
 
327
        signal micro_state              : micro_states;
328
        signal next_micro_state : micro_states;
329
 
330
 
331
 
332
BEGIN
333
 
334
ALU: TG68K_ALU
335
        generic map(
336 4 tobiflex
                MUL_Mode => MUL_Mode,                           --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),              3=>no MUL,
337
                MUL_Hardware => MUL_Hardware,           --0=>no,                1=>yes,
338
                DIV_Mode => DIV_Mode,                           --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),              3=>no DIV,
339
                BarrelShifter => BarrelShifter  --0=>no,                1=>yes,         2=>switchable with CPU(1)  
340 2 tobiflex
                )
341 5 tobiflex
        port map(
342
                clk => clk,                                                             --: in std_logic;
343
                Reset => Reset,                                         --: in std_logic;
344
                clkena_lw => clkena_lw,                         --: in std_logic:='1';
345
                execOPC => execOPC,                                     --: in bit;
346
                decodeOPC => decodeOPC,                         --: in bit;
347
                exe_condition => exe_condition, --: in std_logic;
348
                exec_tas => exec_tas,                           --: in std_logic;
349
                long_start => long_start_alu,           --: in bit;
350
                non_aligned => non_aligned,
351
                movem_presub => movem_presub,           --: in bit;
352
                set_stop => set_stop,                           --: in bit;
353
                Z_error => Z_error,                                     --: in bit;
354 2 tobiflex
 
355 5 tobiflex
                rot_bits => rot_bits,                           --: in std_logic_vector(1 downto 0);
356
                exec => exec,                                                   --: in bit_vector(lastOpcBit downto 0);
357
                OP1out => OP1out,                                               --: in std_logic_vector(31 downto 0);
358
                OP2out => OP2out,                                               --: in std_logic_vector(31 downto 0);
359
                reg_QA => reg_QA,                                               --: in std_logic_vector(31 downto 0);
360
                reg_QB => reg_QB,                                               --: in std_logic_vector(31 downto 0);
361
                opcode => opcode,                                               --: in std_logic_vector(15 downto 0);
362
                exe_opcode => exe_opcode,                       --: in std_logic_vector(15 downto 0);
363
                exe_datatype => exe_datatype,           --: in std_logic_vector(1 downto 0);
364
                sndOPC => sndOPC,                                               --: in std_logic_vector(15 downto 0);
365
                last_data_read => last_data_read(15 downto 0),   --: in std_logic_vector(31 downto 0);
366
                data_read => data_read(15 downto 0),                             --: in std_logic_vector(31 downto 0);
367
                FlagsSR => FlagsSR,                                     --: in std_logic_vector(7 downto 0);
368
                micro_state => micro_state,             --: in micro_states;  
369
                bf_ext_in => bf_ext_in,
370
                bf_ext_out => bf_ext_out,
371
                bf_shift => alu_bf_shift,
372
                bf_width => alu_width,
373
                bf_ffo_offset => alu_bf_ffo_offset,
374
                bf_loffset => alu_bf_loffset(4 downto 0),
375
 
376
                set_V_Flag => set_V_Flag,                       --: buffer bit;
377
                Flags => Flags,                                         --: buffer std_logic_vector(8 downto 0);
378
                c_out => c_out,                                         --: buffer std_logic_vector(2 downto 0);
379
                addsub_q => addsub_q,                           --: buffer std_logic_vector(31 downto 0);
380
                ALUout => ALUout                                                --: buffer std_logic_vector(31 downto 0)
381
        );
382
 
383
        long_start_alu <= to_bit(NOT memmaskmux(3));
384
 
385
        process (memmaskmux)
386
        begin
387
                non_aligned <= '0';
388
                if (memmaskmux(5 downto 4) = "01") or (memmaskmux(5 downto 4) = "10") then
389
                        non_aligned <= '1';
390
                end if;
391
        end process;
392 2 tobiflex
-----------------------------------------------------------------------------
393
-- Bus control
394
-----------------------------------------------------------------------------
395 4 tobiflex
   regin_out <= regin;
396
 
397
 
398 2 tobiflex
        nWr <= '0' WHEN state="11" ELSE '1';
399
        busstate <= state;
400
        nResetOut <= '0' WHEN exec(opcRESET)='1' ELSE '1';
401
 
402 5 tobiflex
        -- does shift for byte access. note active low me
403
        -- should produce address error on 68000
404
        memmaskmux <= memmask when addr(0) = '1' else memmask(4 downto 0) & '1';
405 2 tobiflex
        nUDS <= memmaskmux(5);
406
        nLDS <= memmaskmux(4);
407
        clkena_lw <= '1' WHEN clkena_in='1' AND memmaskmux(3)='1' ELSE '0';
408
        clr_berr <= '1' WHEN setopcode='1' AND trap_berr='1' ELSE '0';
409
 
410
        PROCESS (clk, nReset)
411
        BEGIN
412
                IF nReset='0' THEN
413
                        syncReset <= "0000";
414
                        Reset <= '1';
415
                ELSIF rising_edge(clk) THEN
416
                        IF clkena_in='1' THEN
417
                                syncReset <= syncReset(2 downto 0)&'1';
418
                                Reset <= NOT syncReset(3);
419
                        END IF;
420
                END IF;
421
        END PROCESS;
422
 
423
PROCESS (clk, long_done, last_data_in, data_in, addr, long_start, memmaskmux, memread, memmask, data_read)
424
        BEGIN
425
                IF memmaskmux(4)='0' THEN
426
                        data_read <= last_data_in(15 downto 0)&data_in;
427
                ELSE
428
                        data_read <= last_data_in(23 downto 0)&data_in(15 downto 8);
429
                END IF;
430
                IF memread(0)='1' OR (memread(1 downto 0)="10" AND memmaskmux(4)='1')THEN
431
                        data_read(31 downto 16) <= (OTHERS=>data_read(15));
432
                END IF;
433
 
434
                IF rising_edge(clk) THEN
435
                        IF clkena_lw='1' AND state="10" THEN
436
                                IF memmaskmux(4)='0' THEN
437
                                        bf_ext_in <= last_data_in(23 downto 16);
438
                                ELSE
439
                                        bf_ext_in <= last_data_in(31 downto 24);
440
                                END IF;
441
                        END IF;
442
                        IF Reset='1' THEN
443
                                last_data_read <= (OTHERS => '0');
444
                        ELSIF clkena_in='1' THEN
445
                                IF state="00" OR exec(update_ld)='1' THEN
446
                                        last_data_read <= data_read;
447
                                        IF state(1)='0' AND memmask(1)='0' THEN
448
                                                last_data_read(31 downto 16) <= last_opc_read;
449
                                        ELSIF state(1)='0' OR memread(1)='1' THEN
450
                                                last_data_read(31 downto 16) <= (OTHERS=>data_in(15));
451
                                        END IF;
452
                                END IF;
453
                                last_data_in <= last_data_in(15 downto 0)&data_in(15 downto 0);
454
 
455
                        END IF;
456
                END IF;
457
                                long_start <= to_bit(NOT memmask(1));
458
                                long_done <= to_bit(NOT memread(1));
459
        END PROCESS;
460
 
461
PROCESS (long_start, reg_QB, data_write_tmp, exec, data_read, data_write_mux, memmaskmux, bf_ext_out,
462
                 data_write_muxin, memmask, oddout, addr)
463
        BEGIN
464
                IF exec(write_reg)='1' THEN
465
                        data_write_muxin <= reg_QB;
466
                ELSE
467
                        data_write_muxin <= data_write_tmp;
468
                END IF;
469
 
470
                IF BitField=0 THEN
471
                        IF oddout=addr(0) THEN
472
                                data_write_mux <= "--------"&"--------"&data_write_muxin;
473
                        ELSE
474
                                data_write_mux <= "--------"&data_write_muxin&"--------";
475
                        END IF;
476
                ELSE
477
                        IF oddout=addr(0) THEN
478
                                data_write_mux <= "--------"&bf_ext_out&data_write_muxin;
479
                        ELSE
480
                                data_write_mux <= bf_ext_out&data_write_muxin&"--------";
481
                        END IF;
482
                END IF;
483
 
484
                IF memmaskmux(1)='0' THEN
485
                        data_write <= data_write_mux(47 downto 32);
486
                ELSIF memmaskmux(3)='0' THEN
487
                        data_write <= data_write_mux(31 downto 16);
488
                ELSE
489
                        data_write <= data_write_mux(15 downto 0);
490
                END IF;
491
                IF exec(mem_byte)='1' THEN      --movep
492
                        data_write(7 downto 0) <= data_write_tmp(15 downto 8);
493
                END IF;
494
        END PROCESS;
495
 
496
-----------------------------------------------------------------------------
497
-- Registerfile
498
-----------------------------------------------------------------------------
499
PROCESS (clk, regfile, RDindex_A, RDindex_B, exec)
500
        BEGIN
501
                reg_QA <= regfile(RDindex_A);
502
                reg_QB <= regfile(RDindex_B);
503
                IF rising_edge(clk) THEN
504
                    IF clkena_lw='1' THEN
505
                                rf_source_addrd <= rf_source_addr;
506
                                WR_AReg <= rf_dest_addr(3);
507
                                RDindex_A <= conv_integer(rf_dest_addr(3 downto 0));
508
                                RDindex_B <= conv_integer(rf_source_addr(3 downto 0));
509
                                IF Wwrena='1' THEN
510
                                        regfile(RDindex_A) <= regin;
511
                                END IF;
512
 
513
                                IF exec(to_USP)='1' THEN
514
                                        USP <= reg_QA;
515
                                END IF;
516
                        END IF;
517
                END IF;
518
        END PROCESS;
519
 
520
-----------------------------------------------------------------------------
521
-- Write Reg
522
-----------------------------------------------------------------------------
523
PROCESS (OP1in, reg_QA, Regwrena_now, Bwrena, Lwrena, exe_datatype, WR_AReg, movem_actiond, exec, ALUout, memaddr, memaddr_a, ea_only, USP, movec_data)
524
        BEGIN
525
                regin <= ALUout;
526
                IF exec(save_memaddr)='1' THEN
527
                        regin <= memaddr;
528
                ELSIF exec(get_ea_now)='1' AND ea_only='1' THEN
529
                        regin <= memaddr_a;
530
                ELSIF exec(from_USP)='1' THEN
531
                        regin <= USP;
532
                ELSIF exec(movec_rd)='1' THEN
533
                        regin <= movec_data;
534
                END IF;
535
 
536
                IF Bwrena='1' THEN
537
                        regin(15 downto 8) <= reg_QA(15 downto 8);
538
                END IF;
539
                IF Lwrena='0' THEN
540
                        regin(31 downto 16) <= reg_QA(31 downto 16);
541
                END IF;
542
 
543
                Bwrena <= '0';
544
                Wwrena <= '0';
545
                Lwrena <= '0';
546
                IF exec(presub)='1' OR exec(postadd)='1' OR exec(changeMode)='1' THEN           -- -(An)+
547
                        Wwrena <= '1';
548
                        Lwrena <= '1';
549
                ELSIF Regwrena_now='1' THEN             --dbcc  
550
                        Wwrena <= '1';
551
                ELSIF exec(Regwrena)='1' THEN           --read (mem)
552
                        Wwrena <= '1';
553
                        CASE exe_datatype IS
554
                                WHEN "00" =>            --BYTE
555
                                        Bwrena <= '1';
556
                                WHEN "01" =>            --WORD
557
                                        IF WR_AReg='1' OR movem_actiond='1' THEN
558
                                                Lwrena <='1';
559
                                        END IF;
560
                                WHEN OTHERS =>          --LONG
561
                                        Lwrena <= '1';
562
                        END CASE;
563
                END IF;
564
        END PROCESS;
565
 
566
-----------------------------------------------------------------------------
567
-- set dest regaddr
568
-----------------------------------------------------------------------------
569
PROCESS (opcode, rf_source_addrd, brief, setstackaddr, dest_hbits, dest_areg, data_is_source, sndOPC, exec, set, dest_2ndHbits)
570
        BEGIN
571
                IF exec(movem_action) ='1' THEN
572
                        rf_dest_addr <= rf_source_addrd;
573
                ELSIF set(briefext)='1' THEN
574
                        rf_dest_addr <= brief(15 downto 12);
575 4 tobiflex
                ELSIF set(get_bfoffset)='1' THEN
576 5 tobiflex
--                      IF opcode(15 downto 12)="1110" THEN
577 4 tobiflex
                                rf_dest_addr <= '0'&sndOPC(8 downto 6);
578 5 tobiflex
--                      ELSE
579
--                              rf_dest_addr <= sndOPC(9 downto 6);
580
--                      END IF;
581 2 tobiflex
                ELSIF dest_2ndHbits='1' THEN
582 4 tobiflex
                        rf_dest_addr <= '0'&sndOPC(14 downto 12);
583 2 tobiflex
                ELSIF set(write_reminder)='1' THEN
584 4 tobiflex
                        rf_dest_addr <= '0'&sndOPC(2 downto 0);
585 2 tobiflex
                ELSIF setstackaddr='1' THEN
586
                        rf_dest_addr <= "1111";
587
                ELSIF dest_hbits='1' THEN
588
                        rf_dest_addr <= dest_areg&opcode(11 downto 9);
589
                ELSE
590
                        IF opcode(5 downto 3)="000" OR data_is_source='1' THEN
591
                                rf_dest_addr <= dest_areg&opcode(2 downto 0);
592
                        ELSE
593
                                rf_dest_addr <= '1'&opcode(2 downto 0);
594
                        END IF;
595
                END IF;
596
        END PROCESS;
597
 
598
-----------------------------------------------------------------------------
599
-- set source regaddr
600
-----------------------------------------------------------------------------
601
PROCESS (opcode, movem_presub, movem_regaddr, source_lowbits, source_areg, sndOPC, exec, set, source_2ndLbits, source_2ndHbits)
602
        BEGIN
603
                IF exec(movem_action)='1' OR set(movem_action) ='1' THEN
604
                        IF movem_presub='1' THEN
605
                                rf_source_addr <= movem_regaddr XOR "1111";
606
                        ELSE
607
                                rf_source_addr <= movem_regaddr;
608
                        END IF;
609
                ELSIF source_2ndLbits='1' THEN
610 4 tobiflex
                        rf_source_addr <= '0'&sndOPC(2 downto 0);
611 2 tobiflex
                ELSIF source_2ndHbits='1' THEN
612 4 tobiflex
                        rf_source_addr <= '0'&sndOPC(14 downto 12);
613 2 tobiflex
                ELSIF source_lowbits='1' THEN
614
                        rf_source_addr <= source_areg&opcode(2 downto 0);
615
                ELSIF exec(linksp)='1' THEN
616
                        rf_source_addr <= "1111";
617
                ELSE
618
                        rf_source_addr <= source_areg&opcode(11 downto 9);
619
                END IF;
620
        END PROCESS;
621
 
622
-----------------------------------------------------------------------------
623
-- set OP1out
624
-----------------------------------------------------------------------------
625
PROCESS (reg_QA, store_in_tmp, ea_data, long_start, addr, exec, memmaskmux)
626
        BEGIN
627
                OP1out <= reg_QA;
628
                IF exec(OP1out_zero)='1' THEN
629
                        OP1out <= (OTHERS => '0');
630
                ELSIF exec(ea_data_OP1)='1' AND store_in_tmp='1' THEN
631
                        OP1out <= ea_data;
632
                ELSIF exec(movem_action)='1' OR memmaskmux(3)='0' OR exec(OP1addr)='1' THEN
633
                        OP1out <= addr;
634
                END IF;
635
        END PROCESS;
636
 
637
-----------------------------------------------------------------------------
638
-- set OP2out
639
-----------------------------------------------------------------------------
640
PROCESS (OP2out, reg_QB, exe_opcode, exe_datatype, execOPC, exec, use_direct_data,
641
             store_in_tmp, data_write_tmp, ea_data)
642
        BEGIN
643
                OP2out(15 downto 0) <= reg_QB(15 downto 0);
644
                OP2out(31 downto 16) <= (OTHERS => OP2out(15));
645
                IF exec(OP2out_one)='1' THEN
646
                        OP2out(15 downto 0) <= "1111111111111111";
647
                ELSIF exec(opcEXT)='1' THEN
648
                        IF exe_opcode(6)='0' OR exe_opcode(8)='1' THEN   --ext.w
649
                                OP2out(15 downto 8) <= (OTHERS => OP2out(7));
650
                        END IF;
651
                ELSIF use_direct_data='1' OR (exec(exg)='1' AND execOPC='1') OR exec(get_bfoffset)='1' THEN
652
                        OP2out <= data_write_tmp;
653
                ELSIF (exec(ea_data_OP1)='0' AND store_in_tmp='1') OR exec(ea_data_OP2)='1' THEN
654
                        OP2out <= ea_data;
655
                ELSIF exec(opcMOVEQ)='1' THEN
656
                        OP2out(7 downto 0) <= exe_opcode(7 downto 0);
657
                        OP2out(15 downto 8) <= (OTHERS => exe_opcode(7));
658
                ELSIF exec(opcADDQ)='1' THEN
659
                        OP2out(2 downto 0) <= exe_opcode(11 downto 9);
660
                        IF exe_opcode(11 downto 9)="000" THEN
661
                                OP2out(3) <='1';
662
                        ELSE
663
                                OP2out(3) <='0';
664
                        END IF;
665
                        OP2out(15 downto 4) <= (OTHERS => '0');
666
                ELSIF exe_datatype="10" THEN
667
                        OP2out(31 downto 16) <= reg_QB(31 downto 16);
668
                END IF;
669
        END PROCESS;
670
 
671
 
672
-----------------------------------------------------------------------------
673
-- handle EA_data, data_write
674
-----------------------------------------------------------------------------
675
PROCESS (clk)
676
        BEGIN
677
        IF rising_edge(clk) THEN
678
                        IF Reset = '1' THEN
679
                                store_in_tmp <='0';
680
                                exec_write_back <= '0';
681
                                direct_data <= '0';
682
                                use_direct_data <= '0';
683
                                Z_error <= '0';
684
                        ELSIF clkena_lw='1' THEN
685
                                direct_data <= '0';
686
                                IF state="11" THEN
687
                                        exec_write_back <= '0';
688
                                ELSIF setstate="10" AND write_back='1' THEN
689
                                        exec_write_back <= '1';
690
                                END IF;
691
 
692
 
693
                                IF set_direct_data='1' THEN
694
                                        direct_data <= '1';
695
                                        use_direct_data <= '1';
696
                                ELSIF endOPC='1' THEN
697
                                        use_direct_data <= '0';
698
                                END IF;
699
                                exec_DIRECT <= set_exec(opcMOVE);
700
 
701
                                IF endOPC='1' THEN
702
                                        store_in_tmp <='0';
703
                                        Z_error <= '0';
704
                                ELSE
705
                                        IF set_Z_error='1'  THEN
706
                                                Z_error <= '1';
707
                                        END IF;
708
                                        IF set_exec(opcMOVE)='1' AND state="11" THEN
709
                                                use_direct_data <= '1';
710
                                        END IF;
711
 
712
                                        IF state="10" OR exec(store_ea_packdata)='1' THEN
713
                                                store_in_tmp <= '1';
714
                                        END IF;
715
                                        IF direct_data='1' AND state="00" THEN
716
                                                store_in_tmp <= '1';
717
                                        END IF;
718
                                END IF;
719
 
720
                                IF state="10" AND exec(hold_ea_data)='0' THEN
721
                                        ea_data <= data_read;
722
                                ELSIF exec(get_2ndOPC)='1' THEN
723
                                        ea_data <= addr;
724
                                ELSIF exec(store_ea_data)='1' OR (direct_data='1' AND state="00") THEN
725
                                        ea_data <= last_data_read;
726
                                END IF;
727
 
728
                                IF writePC='1' THEN
729
                                        data_write_tmp <= TG68_PC;
730
                                ELSIF exec(writePC_add)='1' THEN
731
                                        data_write_tmp <= TG68_PC_add;
732
                                ELSIF micro_state=trap0 THEN
733
                                        data_write_tmp(15 downto 0) <= trap_vector(15 downto 0);
734
                                ELSIF exec(hold_dwr)='1' THEN
735
                                        data_write_tmp <= data_write_tmp;
736
                                ELSIF exec(exg)='1' THEN
737
                                        data_write_tmp <= OP1out;
738
                                ELSIF exec(get_ea_now)='1' AND ea_only='1' THEN         -- ist for pea
739
                                        data_write_tmp <= addr;
740
                                ELSIF execOPC='1' THEN
741
                                        data_write_tmp <= ALUout;
742
                                ELSIF (exec_DIRECT='1' AND state="10") THEN
743
                                        data_write_tmp <= data_read;
744
                                        IF  exec(movepl)='1' THEN
745
                                                data_write_tmp(31 downto 8) <= data_write_tmp(23 downto 0);
746
                                        END IF;
747
                                ELSIF exec(movepl)='1' THEN
748
                                        data_write_tmp(15 downto 0) <= reg_QB(31 downto 16);
749
                                ELSIF direct_data='1' THEN
750
                                        data_write_tmp <= last_data_read;
751
                                ELSIF writeSR='1'THEN
752
                                        data_write_tmp(15 downto 0) <= trap_SR(7 downto 0)& Flags(7 downto 0);
753
                                ELSE
754
                                        data_write_tmp <= OP2out;
755
                                END IF;
756
                        END IF;
757
                END IF;
758
        END PROCESS;
759
 
760
-----------------------------------------------------------------------------
761
-- brief
762
-----------------------------------------------------------------------------
763
PROCESS (brief, OP1out, OP1outbrief, cpu)
764
        BEGIN
765
                IF brief(11)='1' THEN
766
                        OP1outbrief <= OP1out(31 downto 16);
767
                ELSE
768
                        OP1outbrief <= (OTHERS=>OP1out(15));
769
                END IF;
770
                briefdata <= OP1outbrief&OP1out(15 downto 0);
771
                IF extAddr_Mode=1 OR (cpu(1)='1' AND extAddr_Mode=2) THEN
772
                        CASE brief(10 downto 9) IS
773
                                WHEN "00" => briefdata <= OP1outbrief&OP1out(15 downto 0);
774
                                WHEN "01" => briefdata <= OP1outbrief(14 downto 0)&OP1out(15 downto 0)&'0';
775
                                WHEN "10" => briefdata <= OP1outbrief(13 downto 0)&OP1out(15 downto 0)&"00";
776
                                WHEN "11" => briefdata <= OP1outbrief(12 downto 0)&OP1out(15 downto 0)&"000";
777
                                WHEN OTHERS => NULL;
778
                        END CASE;
779
                END IF;
780
        END PROCESS;
781
 
782
-----------------------------------------------------------------------------
783
-- MEM_IO 
784
-----------------------------------------------------------------------------
785
PROCESS (clk, setdisp, memaddr_a, briefdata, memaddr_delta, setdispbyte, datatype, interrupt, rIPL_nr, IPL_vec,
786
         memaddr_reg, reg_QA, use_base, VBR, last_data_read, trap_vector, exec, set, cpu)
787
        BEGIN
788
 
789
                IF rising_edge(clk) THEN
790
                        IF clkena_lw='1' THEN
791
                                trap_vector(31 downto 10) <= (others => '0');
792
                                IF trap_berr='1' THEN
793
                                        trap_vector(9 downto 0) <= "00" & X"08";
794
                                END IF;
795
                                IF trap_addr_error='1' THEN
796
                                        trap_vector(9 downto 0) <= "00" & X"0C";
797
                                END IF;
798
                                IF trap_illegal='1' THEN
799
                                        trap_vector(9 downto 0) <= "00" & X"10";
800
                                END IF;
801
                                IF z_error='1' THEN
802
                                        trap_vector(9 downto 0) <= "00" & X"14";
803
                                END IF;
804
                                IF exec(trap_chk)='1' THEN
805
                                        trap_vector(9 downto 0) <= "00" & X"18";
806
                                END IF;
807
                                IF trap_trapv='1' THEN
808
                                        trap_vector(9 downto 0) <= "00" & X"1C";
809
                                END IF;
810
                                IF trap_priv='1' THEN
811
                                        trap_vector(9 downto 0) <= "00" & X"20";
812
                                END IF;
813
                                IF trap_trace='1' THEN
814
                                        trap_vector(9 downto 0) <= "00" & X"24";
815
                                END IF;
816
                                IF trap_1010='1' THEN
817
                                        trap_vector(9 downto 0) <= "00" & X"28";
818
                                END IF;
819
                                IF trap_1111='1' THEN
820
                                        trap_vector(9 downto 0) <= "00" & X"2C";
821
                                END IF;
822
                                IF trap_trap='1' THEN
823
                                        trap_vector(9 downto 0) <= "0010" & opcode(3 downto 0) & "00";
824
                                END IF;
825
                                IF trap_interrupt='1' or set_vectoraddr = '1' THEN
826
                                        trap_vector(9 downto 0) <= IPL_vec & "00";      --TH
827
                                END IF;
828
                        END IF;
829
                END IF;
830
                IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
831
                        trap_vector_vbr <= trap_vector;
832
                ELSE
833
                        trap_vector_vbr <= trap_vector+VBR;
834
                END IF;
835
 
836
                memaddr_a(4 downto 0) <= "00000";
837
                memaddr_a(7 downto 5) <= (OTHERS=>memaddr_a(4));
838
                memaddr_a(15 downto 8) <= (OTHERS=>memaddr_a(7));
839
                memaddr_a(31 downto 16) <= (OTHERS=>memaddr_a(15));
840
                IF setdisp='1' THEN
841
                        IF exec(briefext)='1' THEN
842
                                memaddr_a <= briefdata+memaddr_delta;
843
                        ELSIF setdispbyte='1' THEN
844
                                memaddr_a(7 downto 0) <= last_data_read(7 downto 0);
845
                        ELSE
846
                                memaddr_a <= last_data_read;
847
                        END IF;
848
                ELSIF set(presub)='1' THEN
849
                        IF set(longaktion)='1' THEN
850
                                memaddr_a(4 downto 0) <= "11100";
851
                        ELSIF datatype="00" AND set(use_SP)='0' THEN
852
                                memaddr_a(4 downto 0) <= "11111";
853
                        ELSE
854
                                memaddr_a(4 downto 0) <= "11110";
855
                        END IF;
856
                ELSIF interrupt='1' THEN
857
                        memaddr_a(4 downto 0) <= '1'&rIPL_nr&'0';
858
                END IF;
859
 
860
                IF rising_edge(clk) THEN
861
                        IF clkena_in='1' THEN
862
                                IF exec(get_2ndOPC)='1' OR (state="10" AND memread(0)='1') THEN
863
                                        tmp_TG68_PC <= addr;
864
                                END IF;
865
                                use_base <= '0';
866
                                IF memmaskmux(3)='0' OR exec(mem_addsub)='1' THEN
867
                                        memaddr_delta <= addsub_q;
868
                                ELSIF state="01" AND exec_write_back='1' THEN
869
                                        memaddr_delta <= tmp_TG68_PC;
870
                                ELSIF exec(direct_delta)='1' THEN
871
                                        memaddr_delta <= data_read;
872
                                ELSIF exec(ea_to_pc)='1' AND setstate="00" THEN
873
                                        memaddr_delta <= addr;
874
                                ELSIF set(addrlong)='1' THEN
875
                                        memaddr_delta <= last_data_read;
876
                                ELSIF setstate="00" THEN
877
                                        memaddr_delta <= TG68_PC_add;
878
                                ELSIF exec(dispouter)='1' THEN
879
                                        memaddr_delta <= ea_data+memaddr_a;
880
                                ELSIF set_vectoraddr='1' THEN
881
                                        memaddr_delta <= trap_vector_vbr;
882
                                ELSE
883
                                        memaddr_delta <= memaddr_a;
884
                                        IF interrupt='0' AND Suppress_Base='0' THEN
885
--                                      IF interrupt='0' AND Suppress_Base='0' AND setstate(1)='1' THEN
886
                                                use_base <= '1';
887
                                        END IF;
888
                                END IF;
889
 
890
                -- only used for movem address update
891
--                                      IF (long_done='0' AND state(1)='1') OR movem_presub='0' THEN
892
                                        if ((memread(0) = '1') and state(1) = '1') or movem_presub = '0' then -- fix for unaligned movem mikej
893
                                                memaddr <= addr;
894
                                        END IF;
895
                        END IF;
896
                END IF;
897
 
898
                -- if access done, and not aligned, don't increment
899
                addr <= memaddr_reg+memaddr_delta;
900 4 tobiflex
                addr_out <= memaddr_reg + memaddr_delta;
901
 
902 2 tobiflex
                IF use_base='0' THEN
903
                        memaddr_reg <= (others=>'0');
904
                ELSE
905
                        memaddr_reg <= reg_QA;
906
                END IF;
907
    END PROCESS;
908
 
909
-----------------------------------------------------------------------------
910
-- PC Calc + fetch opcode
911
-----------------------------------------------------------------------------
912
PROCESS (clk, IPL, setstate, state, exec_write_back, set_direct_data, next_micro_state, stop, make_trace, make_berr, IPL_nr, FlagsSR, set_rot_cnt, opcode, writePCbig, set_exec, exec,
913 4 tobiflex
        PC_dataa, PC_datab, setnextpass, last_data_read, TG68_PC_brw, TG68_PC_word, Z_error, trap_trap, trap_trapv, interrupt, tmp_TG68_PC, TG68_PC)
914 2 tobiflex
        BEGIN
915
 
916
                PC_dataa <= TG68_PC;
917
                IF TG68_PC_brw = '1' THEN
918
                        PC_dataa <= tmp_TG68_PC;
919
                END IF;
920
 
921
                PC_datab(2 downto 0) <= (others => '0');
922
                PC_datab(3) <= PC_datab(2);
923
                PC_datab(7 downto 4) <= (others => PC_datab(3));
924
                PC_datab(15 downto 8) <= (others => PC_datab(7));
925
                PC_datab(31 downto 16) <= (others => PC_datab(15));
926
                IF interrupt='1' THEN
927
                        PC_datab(2 downto 1) <= "11";
928
                END IF;
929
                IF exec(writePC_add) ='1' THEN
930
                        IF writePCbig='1' THEN
931
                                PC_datab(3) <= '1';
932
                                PC_datab(1) <= '1';
933
                        ELSE
934
                                PC_datab(2) <= '1';
935
                        END IF;
936
                        IF trap_trap='1' OR trap_trapv='1' OR exec(trap_chk)='1' OR Z_error='1' THEN
937
                                PC_datab(1) <= '1';
938
                        END IF;
939
                ELSIF state="00" THEN
940
                        PC_datab(1) <= '1';
941
                END IF;
942
                IF TG68_PC_brw = '1' THEN
943
                        IF TG68_PC_word='1' THEN
944
                                PC_datab <= last_data_read;
945
                        ELSE
946
                                PC_datab(7 downto 0) <= opcode(7 downto 0);
947
                        END IF;
948
                END IF;
949
 
950
                TG68_PC_add <= PC_dataa+PC_datab;
951
 
952
                setopcode <= '0';
953
                setendOPC <= '0';
954
                setinterrupt <= '0';
955
                IF setstate="00" AND next_micro_state=idle AND setnextpass='0' AND (exec_write_back='0' OR state="11") AND set_rot_cnt="000001" AND set_exec(opcCHK)='0'THEN
956
                        setendOPC <= '1';
957
                        IF FlagsSR(2 downto 0)<IPL_nr OR IPL_nr="111"  OR make_trace='1' OR make_berr='1' THEN
958
                                setinterrupt <= '1';
959
                        ELSIF stop='0' THEN
960
                                setopcode <= '1';
961
                        END IF;
962
                END IF;
963
                setexecOPC <= '0';
964
                IF setstate="00" AND next_micro_state=idle AND set_direct_data='0' AND (exec_write_back='0' OR state="10") THEN
965
                        setexecOPC <= '1';
966
                END IF;
967
 
968
                IPL_nr <= NOT IPL;
969
                IF rising_edge(clk) THEN
970 4 tobiflex
                        IF Reset = '1' THEN
971 2 tobiflex
                                state <= "01";
972
                                opcode <= X"2E79";                                      --move $0,a7
973
                                trap_interrupt <= '0';
974
                                interrupt <= '0';
975
                                last_opc_read  <= X"4EF9";                      --jmp nn.l
976
                                TG68_PC <= X"00000004";
977
                                decodeOPC <= '0';
978
                                endOPC <= '0';
979
                                TG68_PC_word <= '0';
980
                                execOPC <= '0';
981
                                stop <= '0';
982
                                rot_cnt <="000001";
983
--                              byte <= '0';
984
--                              IPL_nr <= "000";
985
                                trap_trace <= '0';
986
                                trap_berr <= '0';
987
                                writePCbig <= '0';
988
--                              recall_last <= '0';
989
                                Suppress_Base <= '0';
990
                                make_berr <= '0';
991
                                memmask <= "111111";
992
                        ELSE
993
--                              IPL_nr <= NOT IPL;
994
                                IF clkena_in='1' THEN
995
                                        memmask <= memmask(3 downto 0)&"11";
996
                                        memread <= memread(1 downto 0)&memmaskmux(5 downto 4);
997
--                                      IF wbmemmask(5 downto 4)="11" THEN      
998
--                                              wbmemmask <= memmask;
999
--                                      END IF;
1000
                                        IF exec(directPC)='1' THEN
1001
                                                TG68_PC <= data_read;
1002
                                        ELSIF exec(ea_to_pc)='1' THEN
1003
                                                TG68_PC <= addr;
1004
                                        ELSIF (state ="00" OR TG68_PC_brw = '1') AND stop='0'  THEN
1005
                                                TG68_PC <= TG68_PC_add;
1006
                                        END IF;
1007
                                END IF;
1008
                                IF clkena_lw='1' THEN
1009
                                        interrupt <= setinterrupt;
1010
                                        decodeOPC <= setopcode;
1011
                                        endOPC <= setendOPC;
1012
                                        execOPC <= setexecOPC;
1013
 
1014
                                        exe_datatype <= set_datatype;
1015
                                        exe_opcode <= opcode;
1016
 
1017
                                        if(trap_berr='0') then
1018
                                                make_berr <= (berr OR make_berr);
1019
                                        else
1020
                                                make_berr <= '0';
1021
                                        end if;
1022
 
1023
                                        stop <= set_stop OR (stop AND NOT setinterrupt);
1024
                                        IF setinterrupt='1' THEN
1025
                                                trap_interrupt <= '0';
1026
                                                trap_trace <= '0';
1027
--                                              TG68_PC_word <= '0';
1028
                                                make_berr <= '0';
1029
                                                trap_berr <= '0';
1030
                                                IF make_trace='1' THEN
1031
                                                        trap_trace <= '1';
1032
                                                ELSIF make_berr='1' THEN
1033
                                                        trap_berr <= '1';
1034
                                                ELSE
1035
                                                        rIPL_nr <= IPL_nr;
1036
                                                        IPL_vec <= "00011"&IPL_nr;            --        TH              
1037
                                                        trap_interrupt <= '1';
1038
                                                END IF;
1039
                                        END IF;
1040
                                        IF micro_state=trap0 AND IPL_autovector='0' THEN
1041
                                                IPL_vec <= last_data_read(7 downto 0);    --     TH
1042
                                        END IF;
1043
                                        IF state="00" THEN
1044
                                                last_opc_read <= data_read(15 downto 0);
1045
                                        END IF;
1046
                                        IF setopcode='1' THEN
1047
                                                trap_interrupt <= '0';
1048
                                                trap_trace <= '0';
1049
                                                TG68_PC_word <= '0';
1050
                                                trap_berr <= '0';
1051
                                        ELSIF opcode(7 downto 0)="00000000" OR opcode(7 downto 0)="11111111" OR data_is_source='1' THEN
1052
                                                TG68_PC_word <= '1';
1053
                                        END IF;
1054
 
1055
                                        IF exec(get_bfoffset)='1' THEN
1056
                                                alu_width <= bf_width;
1057
                                                alu_bf_shift <= bf_shift;
1058
                                                alu_bf_loffset <= bf_loffset;
1059
                                                alu_bf_ffo_offset <= bf_full_offset+bf_width+1;
1060
--                                      ELSIF set_exec(exec_BS)='1' THEN
1061
--                                      
1062
----                                                    IF set_exec(exec_BS)='1' THEN
1063
----                                                            alu_width<="001111";
1064
----                                                            alu_bf_loffset <= "000000";
1065
----                                                    END IF;
1066
--                                      
1067
----                                            alu_bf_shift <= set_rot_cnt;
1068
--                                                      IF opcode(5)='1' THEN
1069
----                                                            next_micro_state <= rota1;
1070
----                                                            set(ld_rot_cnt) <= '1';
1071
----                                                            setstate <= "01";
1072
--                                                              alu_bf_shift <= OP2out(5 downto 0);
1073
--                                                      ELSE
1074
--                                                              alu_bf_shift(2 downto 0) <= opcode(11 downto 9);
1075
--                                                              IF opcode(11 downto 9)="000" THEN
1076
--                                                                      alu_bf_shift(5 downto 3) <="001";
1077
--                                                              ELSE
1078
--                                                                      alu_bf_shift(5 downto 3) <="000";
1079
--                                                              END IF;
1080
--                                                      END IF;
1081
                                        END IF;
1082
--                                      byte <= '0';
1083
                                        memread <= "1111";
1084
                                        FC(1) <= NOT setstate(1) OR (PCbase AND NOT setstate(0));
1085
                                        FC(0) <= setstate(1) AND (NOT PCbase OR setstate(0));
1086
                                        IF interrupt='1' THEN
1087
                                                FC(1 downto 0) <= "11";
1088
                                        END IF;
1089
                                        IF (state="10" AND write_back='1' AND setstate/="10") OR set_rot_cnt/="000001" OR (stop='1' AND interrupt='0') OR set_exec(opcCHK)='1' THEN
1090
                                                state <= "01";
1091
                                                memmask <= "111111";
1092
                                        ELSIF execOPC='1' AND exec_write_back='1' THEN
1093
                                                state <= "11";
1094
                                                FC(1 downto 0) <= "01";
1095
                                                memmask <= wbmemmask;
1096
--                                              IF datatype="00" THEN
1097
--                                                      byte <= '1';
1098
--                                              END IF;
1099
                                        ELSE
1100
                                                state <= setstate;
1101
                                                IF setstate="01" THEN
1102
                                                        memmask <= "111111";
1103
                                                        wbmemmask <= "111111";
1104
                                                ELSIF exec(get_bfoffset)='1' THEN
1105
                                                        memmask <= set_memmask;
1106
                                                        wbmemmask <= set_memmask;
1107
                                                        oddout <= set_oddout;
1108
                                                ELSIF set(longaktion)='1' THEN
1109
                                                        memmask <= "100001";
1110
                                                        wbmemmask <= "100001";
1111
                                                        oddout <= '0';
1112
                                                ELSIF set_datatype="00" AND setstate(1)='1' THEN
1113
                                                        memmask <= "101111";
1114
                                                        wbmemmask <= "101111";
1115
                                                        IF set(mem_byte)='1' THEN
1116
                                                                oddout <= '0';
1117
                                                        ELSE
1118
                                                                oddout <= '1';
1119
                                                        END IF;
1120
                                                ELSE
1121
                                                        memmask <= "100111";
1122
                                                        wbmemmask <= "100111";
1123
                                                        oddout <= '0';
1124
                                                END IF;
1125
                                        END IF;
1126
 
1127
                                        IF decodeOPC='1' THEN
1128
                                                rot_bits <= set_rot_bits;
1129
                                                writePCbig <= '0';
1130
                                        ELSE
1131
                                                writePCbig <= set_writePCbig OR writePCbig;
1132
                                        END IF;
1133
                                        IF decodeOPC='1' OR exec(ld_rot_cnt)='1' OR rot_cnt/="000001" THEN
1134
                                                rot_cnt <= set_rot_cnt;
1135
                                        END IF;
1136
--                                      IF setstate(1)='1' AND set_datatype="00" THEN
1137
--                                              byte <= '1';
1138
--                                      END IF;
1139
 
1140
                                        IF set_Suppress_Base='1' THEN
1141
                                                Suppress_Base <= '1';
1142
                                        ELSIF setstate(1)='1' OR (ea_only='1' AND set(get_ea_now)='1') THEN
1143
                                                Suppress_Base <= '0';
1144
                                        END IF;
1145
                                        IF getbrief='1' THEN
1146
                                                IF state(1)='1' THEN
1147
                                                        brief <= last_opc_read(15 downto 0);
1148
                                                ELSE
1149
                                                        brief <= data_read(15 downto 0);
1150
                                                END IF;
1151
                                        END IF;
1152
 
1153
                                        IF setopcode='1' AND berr='0' THEN
1154
                                                IF state="00" THEN
1155
                                                        opcode <= data_read(15 downto 0);
1156
                                                ELSE
1157
                                                        opcode <= last_opc_read(15 downto 0);
1158
                                                END IF;
1159
                                                nextpass <= '0';
1160
                                        ELSIF setinterrupt='1' OR setopcode='1' THEN
1161
                                                opcode <= X"4E71";              --nop
1162
                                                nextpass <= '0';
1163
                                        ELSE
1164
--                                              IF setnextpass='1' OR (regdirectsource='1' AND state="00") THEN
1165
                                                IF setnextpass='1' OR regdirectsource='1' THEN
1166
                                                        nextpass <= '1';
1167
                                                END IF;
1168
                                        END IF;
1169
 
1170
                                        IF decodeOPC='1' OR interrupt='1' THEN
1171
                                                trap_SR <= FlagsSR;
1172
                                        END IF;
1173
                                END IF;
1174
                        END IF;
1175
                END IF;
1176
 
1177
                IF rising_edge(clk) THEN
1178 5 tobiflex
                        IF Reset = '1' THEN
1179 2 tobiflex
                                PCbase <= '1';
1180
                        ELSIF clkena_lw='1' THEN
1181
                                PCbase <= set_PCbase OR PCbase;
1182
                                IF setexecOPC='1' OR (state(1)='1' AND movem_run='0') THEN
1183
                                        PCbase <= '0';
1184
                                END IF;
1185
                        END IF;
1186
                        IF clkena_lw='1' THEN
1187
                                exec <= set;
1188
                                exec_tas <= '0';
1189
                                exec(subidx) <= set(presub) or set(subidx);
1190
                                IF setexecOPC='1' THEN
1191
                                        exec <= set_exec OR set;
1192
                                        exec_tas <= set_exec_tas;
1193
                                END IF;
1194
                                exec(get_2ndOPC) <= set(get_2ndOPC) OR setopcode;
1195
                        END IF;
1196
                END IF;
1197
        END PROCESS;
1198
 
1199
------------------------------------------------------------------------------
1200
--prepare Bitfield Parameters
1201
------------------------------------------------------------------------------          
1202
PROCESS (clk, Reset, sndOPC, reg_QA, reg_QB, bf_width, bf_offset, bf_bhits, opcode, setstate, bf_shift)
1203
        BEGIN
1204
                IF sndOPC(11)='1' THEN
1205
                        bf_offset <= '0'&reg_QA(4 downto 0);
1206
                ELSE
1207
                        bf_offset <= '0'&sndOPC(10 downto 6);
1208
                END IF;
1209
                IF sndOPC(11)='1' THEN
1210
                        bf_full_offset <= reg_QA;
1211
                ELSE
1212
                        bf_full_offset <= (others => '0');
1213
                        bf_full_offset(4 downto 0) <= sndOPC(10 downto 6);
1214
                END IF;
1215
 
1216
                bf_width(5) <= '0';
1217
                IF sndOPC(5)='1' THEN
1218
                        bf_width(4 downto 0) <= reg_QB(4 downto 0)-1;
1219
                ELSE
1220
                        bf_width(4 downto 0) <= sndOPC(4 downto 0)-1;
1221
                END IF;
1222
                bf_bhits <= bf_width+bf_offset;
1223
                set_oddout <= NOT bf_bhits(3);
1224
 
1225 4 tobiflex
 
1226
-- bf_loffset is used for the shifted_bitmask
1227 2 tobiflex
                IF opcode(10 downto 8)="111" THEN --INS
1228
                        bf_loffset <= 32-bf_shift;
1229
                ELSE
1230
                        bf_loffset <= bf_shift;
1231
                END IF;
1232
                bf_loffset(5) <= '0';
1233
 
1234
                IF opcode(4 downto 3)="00" THEN
1235
                        IF opcode(10 downto 8)="111" THEN --INS
1236
                                bf_shift <= bf_bhits+1;
1237
                        ELSE
1238
                                bf_shift <= 31-bf_bhits;
1239
                        END IF;
1240
                        bf_shift(5) <= '0';
1241
                ELSE
1242 4 tobiflex
                        IF opcode(10 downto 8)="111" THEN --INS
1243
                                bf_shift <= "011001"+("000"&bf_bhits(2 downto 0));
1244
                                bf_shift(5) <= '0';
1245 2 tobiflex
                        ELSE
1246
                                bf_shift <= "000"&("111"-bf_bhits(2 downto 0));
1247
                        END IF;
1248
                        bf_offset(4 downto 3) <= "00";
1249
                END IF;
1250 4 tobiflex
 
1251
                CASE bf_bhits(5 downto 3) IS
1252
                        WHEN "000" =>
1253
                                set_memmask <= "101111";
1254
                        WHEN "001" =>
1255 2 tobiflex
                                set_memmask <= "100111";
1256 4 tobiflex
                        WHEN "010" =>
1257
                                set_memmask <= "100011";
1258
                        WHEN "011" =>
1259
                                set_memmask <= "100001";
1260
                        WHEN OTHERS =>
1261
                                set_memmask <= "100000";
1262
                END CASE;
1263
                IF setstate="00" THEN
1264
                        set_memmask <= "100111";
1265
                END IF;
1266 2 tobiflex
        END PROCESS;
1267
 
1268
------------------------------------------------------------------------------
1269
--SR op
1270
------------------------------------------------------------------------------          
1271
PROCESS (clk, Reset, FlagsSR, last_data_read, OP2out, exec)
1272
        BEGIN
1273
                IF exec(andiSR)='1' THEN
1274
                        SRin <= FlagsSR AND last_data_read(15 downto 8);
1275
                ELSIF exec(eoriSR)='1' THEN
1276
                        SRin <= FlagsSR XOR last_data_read(15 downto 8);
1277
                ELSIF exec(oriSR)='1' THEN
1278
                        SRin <= FlagsSR OR last_data_read(15 downto 8);
1279
                ELSE
1280
                        SRin <= OP2out(15 downto 8);
1281
                END IF;
1282
 
1283
                IF rising_edge(clk) THEN
1284 4 tobiflex
                        IF Reset='1' THEN
1285 2 tobiflex
                                FlagsSR(5) <= '1';
1286
                                FC(2) <= '1';
1287
                                SVmode <= '1';
1288
                                preSVmode <= '1';
1289 4 tobiflex
                                FlagsSR(3 downto 0) <= "0111";
1290 2 tobiflex
                                make_trace <= '0';
1291
                        ELSIF clkena_lw = '1' THEN
1292
                                IF setopcode='1' THEN
1293
                                        make_trace <= FlagsSR(7);
1294
                                        IF set(changeMode)='1' THEN
1295
                                                SVmode <= NOT SVmode;
1296
                                        ELSE
1297
                                                SVmode <= preSVmode;
1298
                                        END IF;
1299
                                END IF;
1300
                                IF set(changeMode)='1' THEN
1301
                                        preSVmode <= NOT preSVmode;
1302
                                        FlagsSR(5) <= NOT preSVmode;
1303
                                        FC(2) <= NOT preSVmode;
1304
                                END IF;
1305
                                IF micro_state=trap3 THEN
1306
                                        FlagsSR(7) <= '0';
1307
                                END IF;
1308
                                IF trap_trace='1' AND state="10" THEN
1309
                                        make_trace <= '0';
1310
                                END IF;
1311
                                IF exec(directSR)='1' OR set_stop='1' THEN
1312
                                        FlagsSR <= data_read(15 downto 8);
1313
                                END IF;
1314
                                IF interrupt='1' AND trap_interrupt='1' THEN
1315
                                        FlagsSR(2 downto 0) <=rIPL_nr;
1316
                                END IF;
1317
                                IF exec(to_SR)='1' THEN
1318
                                        FlagsSR(7 downto 0) <= SRin;     --SR
1319
                                        FC(2) <= SRin(5);
1320
                                ELSIF exec(update_FC)='1' THEN
1321
                                        FC(2) <= FlagsSR(5);
1322
                                END IF;
1323
                                IF interrupt='1' THEN
1324
                                        FC(2) <= '1';
1325
                                END IF;
1326 4 tobiflex
                                FlagsSR(3) <= '0';
1327 2 tobiflex
                        END IF;
1328
                END IF;
1329
        END PROCESS;
1330
 
1331
-----------------------------------------------------------------------------
1332
-- decode opcode
1333
-----------------------------------------------------------------------------
1334
PROCESS (clk, cpu, OP1out, OP2out, opcode, exe_condition, nextpass, micro_state, decodeOPC, state, setexecOPC, Flags, FlagsSR, direct_data, build_logical,
1335
                 build_bcd, set_Z_error, trapd, movem_run, last_data_read, set, set_V_Flag, z_error, trap_trace, trap_interrupt,
1336
                 SVmode, preSVmode, stop, long_done, ea_only, setstate, execOPC, exec_write_back, exe_datatype,
1337
                 datatype, interrupt, c_out, trapmake, rot_cnt, brief, addr,
1338
                 long_start, set_datatype, sndOPC, set_exec, exec, ea_build_now, reg_QA, reg_QB, make_berr, trap_berr)
1339
        BEGIN
1340
                TG68_PC_brw <= '0';
1341
                setstate <= "00";
1342
                Regwrena_now <= '0';
1343
                movem_presub <= '0';
1344
                setnextpass <= '0';
1345
                regdirectsource <= '0';
1346
                setdisp <= '0';
1347
                setdispbyte <= '0';
1348
                getbrief <= '0';
1349
                dest_areg <= '0';
1350
                source_areg <= '0';
1351
                data_is_source <= '0';
1352
                write_back <= '0';
1353
                setstackaddr <= '0';
1354
                writePC <= '0';
1355
                ea_build_now <= '0';
1356
--              set_rot_bits <= "00";
1357
                set_rot_bits <= opcode(4 downto 3);
1358
                set_rot_cnt <= "000001";
1359
                dest_hbits <= '0';
1360
                source_lowbits <= '0';
1361
                source_2ndHbits <= '0';
1362
                source_2ndLbits <= '0';
1363
                dest_2ndHbits <= '0';
1364
                ea_only <= '0';
1365
                set_direct_data <= '0';
1366
                set_exec_tas <= '0';
1367
                trap_illegal <='0';
1368
                trap_addr_error <= '0';
1369
                trap_priv <='0';
1370
                trap_1010 <='0';
1371
                trap_1111 <='0';
1372
                trap_trap <='0';
1373
                trap_trapv <= '0';
1374
                trapmake <='0';
1375
                set_vectoraddr <='0';
1376
                writeSR <= '0';
1377
                set_stop <= '0';
1378
--              illegal_write_mode <= '0';
1379
--              illegal_read_mode <= '0';
1380
--              illegal_byteaddr <= '0';
1381
                set_Z_error <= '0';
1382
 
1383
                next_micro_state <= idle;
1384
                build_logical <= '0';
1385
                build_bcd <= '0';
1386
                skipFetch <= make_berr;
1387
                set_writePCbig <= '0';
1388
--              set_recall_last <= '0';
1389
                set_Suppress_Base <= '0';
1390
                set_PCbase <= '0';
1391
 
1392
                IF rot_cnt/="000001" THEN
1393
                        set_rot_cnt <= rot_cnt-1;
1394
                END IF;
1395
                set_datatype <= datatype;
1396
 
1397
                set <= (OTHERS=>'0');
1398
                set_exec <= (OTHERS=>'0');
1399
                set(update_ld) <= '0';
1400
--              odd_start <= '0';
1401
------------------------------------------------------------------------------
1402
--Sourcepass
1403
------------------------------------------------------------------------------          
1404
                CASE opcode(7 downto 6) IS
1405
                        WHEN "00" => datatype <= "00";          --Byte
1406
                        WHEN "01" => datatype <= "01";          --Word
1407
                        WHEN OTHERS => datatype <= "10";        --Long
1408
                END CASE;
1409
 
1410
                IF trapmake='1' AND trapd='0' THEN
1411
                        next_micro_state <= trap0;
1412
                        IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
1413
                                set(writePC_add) <= '1';
1414
--                              set_datatype <= "10";
1415
                        END IF;
1416
                        IF preSVmode='0' THEN
1417
                                set(changeMode) <= '1';
1418
                        END IF;
1419
                        setstate <= "01";
1420
                END IF;
1421
                IF interrupt='1' AND trap_berr='1' THEN
1422
                        next_micro_state <= trap0;
1423
                        IF preSVmode='0' THEN
1424
                                set(changeMode) <= '1';
1425
                        END IF;
1426
                        setstate <= "01";
1427
                END IF;
1428
                IF micro_state=int1 OR (interrupt='1' AND trap_trace='1') THEN
1429
                        next_micro_state <= trap0;
1430
--                      IF cpu(0)='0' THEN
1431
--                              set_datatype <= "10";
1432
--                      END IF;
1433
                        IF preSVmode='0' THEN
1434
                                set(changeMode) <= '1';
1435
                        END IF;
1436
                        setstate <= "01";
1437
                END IF;
1438
 
1439
                IF setexecOPC='1' AND FlagsSR(5)/=preSVmode THEN
1440
                        set(changeMode) <= '1';
1441
--                      setstate <= "01";
1442
--                      next_micro_state <= nop;
1443
                END IF;
1444
 
1445
                IF interrupt='1' AND trap_interrupt='1'THEN
1446
--                      skipFetch <= '1';
1447
                        next_micro_state <= int1;
1448
                        set(update_ld) <= '1';
1449
                        setstate <= "10";
1450
                END IF;
1451
 
1452
                IF set(changeMode)='1' THEN
1453
                        set(to_USP) <= '1';
1454
                        set(from_USP) <= '1';
1455
                        setstackaddr <='1';
1456
                END IF;
1457
 
1458
                IF ea_only='0' AND set(get_ea_now)='1' THEN
1459
                        setstate <= "10";
1460
--                      set_recall_last <= '1';
1461
--                      set(update_ld) <= '0';
1462
                END IF;
1463
 
1464
                IF setstate(1)='1' AND set_datatype(1)='1' THEN
1465
                        set(longaktion) <= '1';
1466
                END IF;
1467
 
1468
                IF (ea_build_now='1' AND decodeOPC='1') OR exec(ea_build)='1' THEN
1469
                        CASE opcode(5 downto 3) IS              --source
1470
                                WHEN "010"|"011"|"100" =>                                               -- -(An)+
1471
                                        set(get_ea_now) <='1';
1472
                                        setnextpass <= '1';
1473
                                        IF opcode(3)='1' THEN   --(An)+
1474
                                                set(postadd) <= '1';
1475
                                                IF opcode(2 downto 0)="111" THEN
1476
                                                        set(use_SP) <= '1';
1477
                                                END IF;
1478
                                        END IF;
1479
                                        IF opcode(5)='1' THEN   -- -(An)
1480
                                                set(presub) <= '1';
1481
                                                IF opcode(2 downto 0)="111" THEN
1482
                                                        set(use_SP) <= '1';
1483
                                                END IF;
1484
                                        END IF;
1485
                                WHEN "101" =>                           --(d16,An)
1486
                                        next_micro_state <= ld_dAn1;
1487
                                WHEN "110" =>                           --(d8,An,Xn)
1488
                                        next_micro_state <= ld_AnXn1;
1489
                                        getbrief <='1';
1490
                                WHEN "111" =>
1491
                                        CASE opcode(2 downto 0) IS
1492
                                                WHEN "000" =>                           --(xxxx).w
1493
                                                        next_micro_state <= ld_nn;
1494
                                                WHEN "001" =>                           --(xxxx).l
1495
                                                        set(longaktion) <= '1';
1496
                                                        next_micro_state <= ld_nn;
1497
                                                WHEN "010" =>                           --(d16,PC)
1498
                                                        next_micro_state <= ld_dAn1;
1499
                                                        set(dispouter) <= '1';
1500
                                                        set_Suppress_Base <= '1';
1501
                                                        set_PCbase <= '1';
1502
                                                WHEN "011" =>                           --(d8,PC,Xn)
1503
                                                        next_micro_state <= ld_AnXn1;
1504
                                                        getbrief <= '1';
1505
                                                        set(dispouter) <= '1';
1506
                                                        set_Suppress_Base <= '1';
1507
                                                        set_PCbase <= '1';
1508
                                                WHEN "100" =>                           --#data
1509
                                                        setnextpass <= '1';
1510
                                                        set_direct_data <= '1';
1511
                                                        IF datatype="10" THEN
1512
                                                                set(longaktion) <= '1';
1513
                                                        END IF;
1514
                                                WHEN OTHERS => NULL;
1515
                                        END CASE;
1516
                                WHEN OTHERS => NULL;
1517
                        END CASE;
1518
                END IF;
1519
------------------------------------------------------------------------------
1520
--prepere opcode
1521
------------------------------------------------------------------------------          
1522
                CASE opcode(15 downto 12) IS
1523
-- 0000 ----------------------------------------------------------------------------            
1524
                        WHEN "0000" =>
1525
                        IF opcode(8)='1' AND opcode(5 downto 3)="001" THEN --movep
1526
                                datatype <= "00";                               --Byte
1527
                                set(use_SP) <= '1';             --addr+2
1528
                                set(no_Flags) <='1';
1529
                                IF opcode(7)='0' THEN  --to register
1530
                                        set_exec(Regwrena) <= '1';
1531
                                        set_exec(opcMOVE) <= '1';
1532
                                        set(movepl) <= '1';
1533
                                END IF;
1534
                                IF decodeOPC='1' THEN
1535
                                        IF opcode(6)='1' THEN
1536
                                                set(movepl) <= '1';
1537
                                        END IF;
1538
                                        IF opcode(7)='0' THEN
1539
                                                set_direct_data <= '1';         -- to register
1540
                                        END IF;
1541
                                        next_micro_state <= movep1;
1542
                                END IF;
1543
                                IF setexecOPC='1' THEN
1544
                                        dest_hbits <='1';
1545
                                END IF;
1546
                        ELSE
1547
                                IF opcode(8)='1' OR opcode(11 downto 9)="100" THEN              --Bits
1548
                                        set_exec(opcBITS) <= '1';
1549
                                        set_exec(ea_data_OP1) <= '1';
1550
                                        IF opcode(7 downto 6)/="00" THEN
1551
                                                IF opcode(5 downto 4)="00" THEN
1552
                                                        set_exec(Regwrena) <= '1';
1553
                                                END IF;
1554
                                                write_back <= '1';
1555
                                        END IF;
1556
                                        IF opcode(5 downto 4)="00" THEN
1557
                                                datatype <= "10";                       --Long
1558
                                        ELSE
1559
                                                datatype <= "00";                       --Byte
1560
                                        END IF;
1561
                                        IF opcode(8)='0' THEN
1562
                                                IF decodeOPC='1' THEN
1563
                                                        next_micro_state <= nop;
1564
                                                        set(get_2ndOPC) <= '1';
1565
                                                        set(ea_build) <= '1';
1566
                                                END IF;
1567
                                        ELSE
1568
                                                ea_build_now <= '1';
1569
                                        END IF;
1570
                                ELSIF opcode(11 downto 9)="111" THEN            --MOVES not in 68000
1571
                                        trap_illegal <= '1';
1572
--                                      trap_addr_error <= '1';
1573
                                        trapmake <= '1';
1574
                                ELSE                                                            --andi, ...xxxi 
1575
                                        IF opcode(11 downto 9)="000" THEN       --ORI
1576
                                                set_exec(opcOR) <= '1';
1577
                                        END IF;
1578
                                        IF opcode(11 downto 9)="001" THEN       --ANDI
1579
                                                set_exec(opcAND) <= '1';
1580
                                        END IF;
1581
                                        IF opcode(11 downto 9)="010" OR opcode(11 downto 9)="011" THEN  --SUBI, ADDI
1582
                                                set_exec(opcADD) <= '1';
1583
                                        END IF;
1584
                                        IF opcode(11 downto 9)="101" THEN       --EORI
1585
                                                set_exec(opcEOR) <= '1';
1586
                                        END IF;
1587
                                        IF opcode(11 downto 9)="110" THEN       --CMPI
1588
                                                set_exec(opcCMP) <= '1';
1589
                                        END IF;
1590
                                        IF opcode(7)='0' AND opcode(5 downto 0)="111100" AND (set_exec(opcAND) OR set_exec(opcOR) OR set_exec(opcEOR))='1' THEN           --SR
1591
                                                IF decodeOPC='1' AND SVmode='0' AND opcode(6)='1' THEN  --SR
1592
                                                        trap_priv <= '1';
1593
                                                        trapmake <= '1';
1594
                                                ELSE
1595
                                                        set(no_Flags) <= '1';
1596
                                                        IF decodeOPC='1' THEN
1597
                                                                IF opcode(6)='1' THEN
1598
                                                                        set(to_SR) <= '1';
1599
                                                                END IF;
1600
                                                                set(to_CCR) <= '1';
1601
                                                                set(andiSR) <= set_exec(opcAND);
1602
                                                                set(eoriSR) <= set_exec(opcEOR);
1603
                                                                set(oriSR) <= set_exec(opcOR);
1604
                                                                setstate <= "01";
1605
                                                                next_micro_state <= nopnop;
1606
                                                        END IF;
1607
                                                END IF;
1608
                                        ELSE
1609
                                                IF decodeOPC='1' THEN
1610
                                                        next_micro_state <= andi;
1611
                                                        set(get_2ndOPC) <='1';
1612
                                                        set(ea_build) <= '1';
1613
                                                        set_direct_data <= '1';
1614
                                                        IF datatype="10" THEN
1615
                                                                set(longaktion) <= '1';
1616
                                                        END IF;
1617
                                                END IF;
1618
                                                IF opcode(5 downto 4)/="00" THEN
1619
                                                        set_exec(ea_data_OP1) <= '1';
1620
                                                END IF;
1621
                                                IF opcode(11 downto 9)/="110" THEN      --CMPI 
1622
                                                        IF opcode(5 downto 4)="00" THEN
1623
                                                                set_exec(Regwrena) <= '1';
1624
                                                        END IF;
1625
                                                        write_back <= '1';
1626
                                                END IF;
1627
                                                IF opcode(10 downto 9)="10" THEN        --CMPI, SUBI
1628
                                                        set(addsub) <= '1';
1629
                                                END IF;
1630
                                        END IF;
1631
                                END IF;
1632
                        END IF;
1633
 
1634
-- 0001, 0010, 0011 -----------------------------------------------------------------           
1635
                        WHEN "0001"|"0010"|"0011" =>                            --move.b, move.l, move.w
1636
                                set_exec(opcMOVE) <= '1';
1637
                                ea_build_now <= '1';
1638
                                IF opcode(8 downto 6)="001" THEN
1639
                                        set(no_Flags) <= '1';
1640
                                END IF;
1641
                                IF opcode(5 downto 4)="00" THEN --Dn, An
1642
                                        IF opcode(8 downto 7)="00" THEN
1643
                                                set_exec(Regwrena) <= '1';
1644
                                        END IF;
1645
                                END IF;
1646
                                CASE opcode(13 downto 12) IS
1647
                                        WHEN "01" => datatype <= "00";          --Byte
1648
                                        WHEN "10" => datatype <= "10";          --Long
1649
                                        WHEN OTHERS => datatype <= "01";        --Word
1650
                                END CASE;
1651
                                source_lowbits <= '1';                                  -- Dn=>  An=>
1652
                                IF opcode(3)='1' THEN
1653
                                        source_areg <= '1';
1654
                                END IF;
1655
 
1656
                                IF nextpass='1' OR opcode(5 downto 4)="00" THEN
1657
                                        dest_hbits <= '1';
1658
                                        IF opcode(8 downto 6)/="000" THEN
1659
                                                dest_areg <= '1';
1660
                                        END IF;
1661
                                END IF;
1662
--                              IF setstate="10" THEN
1663
--                                      set(update_ld) <= '0';
1664
--                              END IF;
1665
--
1666
                                IF micro_state=idle AND (nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1')) THEN
1667
                                        CASE opcode(8 downto 6) IS              --destination
1668
                                                WHEN "000"|"001" =>                                             --Dn,An
1669
                                                                set_exec(Regwrena) <= '1';
1670
                                                WHEN "010"|"011"|"100" =>                                       --destination -(an)+
1671
                                                        IF opcode(6)='1' THEN   --(An)+
1672
                                                                set(postadd) <= '1';
1673
                                                                IF opcode(11 downto 9)="111" THEN
1674
                                                                        set(use_SP) <= '1';
1675
                                                                END IF;
1676
                                                        END IF;
1677
                                                        IF opcode(8)='1' THEN   -- -(An)
1678
                                                                set(presub) <= '1';
1679
                                                                IF opcode(11 downto 9)="111" THEN
1680
                                                                        set(use_SP) <= '1';
1681
                                                                END IF;
1682
                                                        END IF;
1683
                                                        setstate <= "11";
1684
                                                        next_micro_state <= nop;
1685
                                                        IF nextpass='0' THEN
1686
                                                                set(write_reg) <= '1';
1687
                                                        END IF;
1688
                                                WHEN "101" =>                           --(d16,An)
1689
                                                        next_micro_state <= st_dAn1;
1690
--                                                      getbrief <= '1';
1691
                                                WHEN "110" =>                           --(d8,An,Xn)
1692
                                                        next_micro_state <= st_AnXn1;
1693
                                                        getbrief <= '1';
1694
                                                WHEN "111" =>
1695
                                                        CASE opcode(11 downto 9) IS
1696
                                                                WHEN "000" =>                           --(xxxx).w
1697
                                                                        next_micro_state <= st_nn;
1698
                                                                WHEN "001" =>                           --(xxxx).l
1699
                                                                        set(longaktion) <= '1';
1700
                                                                        next_micro_state <= st_nn;
1701
                                                                WHEN OTHERS => NULL;
1702
                                                        END CASE;
1703
                                                WHEN OTHERS => NULL;
1704
                                        END CASE;
1705
                                END IF;
1706
---- 0100 ----------------------------------------------------------------------------          
1707
                        WHEN "0100" =>                          --rts_group
1708
                                IF opcode(8)='1' THEN           --lea
1709
                                        IF opcode(6)='1' THEN           --lea
1710
                                                IF opcode(7)='1' THEN
1711
                                                        source_lowbits <= '1';
1712
--                                                      IF opcode(5 downto 3)="000" AND opcode(10)='0' THEN             --ext
1713
                                                        IF opcode(5 downto 4)="00" THEN         --extb.l
1714
                                                                set_exec(opcEXT) <= '1';
1715
                                                                set_exec(opcMOVE) <= '1';
1716
                                                                set_exec(Regwrena) <= '1';
1717
--                                                              IF opcode(6)='0' THEN
1718
--                                                                      datatype <= "01";               --WORD
1719
--                                                              END IF;
1720
                                                        ELSE
1721
                                                                source_areg <= '1';
1722
                                                                ea_only <= '1';
1723
                                                                set_exec(Regwrena) <= '1';
1724
                                                                set_exec(opcMOVE) <='1';
1725
                                                                set(no_Flags) <='1';
1726
                                                                IF opcode(5 downto 3)="010" THEN        --lea (Am),An
1727
                                                                        dest_areg <= '1';
1728
                                                                        dest_hbits <= '1';
1729
                                                                ELSE
1730
                                                                        ea_build_now <= '1';
1731
                                                                END IF;
1732
                                                                IF set(get_ea_now)='1' THEN
1733
                                                                        setstate <= "01";
1734
                                                                        set_direct_data <= '1';
1735
                                                                END IF;
1736
                                                                IF setexecOPC='1' THEN
1737
                                                                        dest_areg <= '1';
1738
                                                                        dest_hbits <= '1';
1739
                                                                END IF;
1740
                                                        END IF;
1741
                                                ELSE
1742
                                                        trap_illegal <= '1';
1743
                                                        trapmake <= '1';
1744
                                                END IF;
1745
                                        ELSE                                                            --chk
1746
                                                IF opcode(7)='1' THEN
1747
                                                        datatype <= "01";       --Word
1748
                                                                set(trap_chk) <= '1';
1749
                                                        IF (c_out(1)='0' OR OP1out(15)='1' OR OP2out(15)='1') AND exec(opcCHK)='1' THEN
1750
                                                                trapmake <= '1';
1751
                                                        END IF;
1752
                                                ELSIF cpu(1)='1' THEN   --chk long for 68020
1753
                                                        datatype <= "10";       --Long
1754
                                                                set(trap_chk) <= '1';
1755
                                                        IF (c_out(2)='1' OR OP1out(31)='1' OR OP2out(31)='1') AND exec(opcCHK)='1' THEN
1756
                                                                trapmake <= '1';
1757
                                                        END IF;
1758
                                                ELSE
1759
                                                        trap_illegal <= '1';            -- chk long for 68020
1760
                                                        trapmake <= '1';
1761
                                                END IF;
1762
                                                IF opcode(7)='1' OR cpu(1)='1' THEN
1763
                                                        IF (nextpass='1' OR opcode(5 downto 4)="00") AND exec(opcCHK)='0' AND micro_state=idle THEN
1764
                                                                set_exec(opcCHK) <= '1';
1765
                                                        END IF;
1766
                                                        ea_build_now <= '1';
1767
                                                        set(addsub) <= '1';
1768
                                                        IF setexecOPC='1' THEN
1769
                                                                dest_hbits <= '1';
1770
                                                                source_lowbits <='1';
1771
                                                        END IF;
1772
                                                END IF;
1773
                                        END IF;
1774
                                ELSE
1775
                                        CASE opcode(11 downto 9) IS
1776
                                                WHEN "000"=>
1777
                                                        IF opcode(7 downto 6)="11" THEN                                 --move from SR
1778
                                                                IF SR_Read=0 OR (cpu(0)='0' AND SR_Read=2) OR SVmode='1'  THEN
1779
                                                                        ea_build_now <= '1';
1780
                                                                        set_exec(opcMOVESR) <= '1';
1781
                                                                        datatype <= "01";
1782
                                                                        write_back <='1';                                                       -- im 68000 wird auch erst gelesen
1783
                                                                        IF cpu(0)='1' AND state="10" THEN
1784
                                                                                skipFetch <= '1';
1785
                                                                        END IF;
1786
                                                                        IF opcode(5 downto 4)="00" THEN
1787
                                                                                set_exec(Regwrena) <= '1';
1788
                                                                        END IF;
1789
                                                                ELSE
1790
                                                                        trap_priv <= '1';
1791
                                                                        trapmake <= '1';
1792
                                                                END IF;
1793
                                                        ELSE                                                                    --negx
1794
                                                                ea_build_now <= '1';
1795
                                                                set_exec(use_XZFlag) <= '1';
1796
                                                                write_back <='1';
1797
                                                                set_exec(opcADD) <= '1';
1798
                                                                set(addsub) <= '1';
1799
                                                                source_lowbits <= '1';
1800
                                                                IF opcode(5 downto 4)="00" THEN
1801
                                                                        set_exec(Regwrena) <= '1';
1802
                                                                END IF;
1803
                                                                IF setexecOPC='1' THEN
1804
                                                                        set(OP1out_zero) <= '1';
1805
                                                                END IF;
1806
                                                        END IF;
1807
                                                WHEN "001"=>
1808
                                                        IF opcode(7 downto 6)="11" THEN                                 --move from CCR 68010
1809
                                                                IF SR_Read=1 OR (cpu(0)='1' AND SR_Read=2) THEN
1810
                                                                        ea_build_now <= '1';
1811
                                                                        set_exec(opcMOVESR) <= '1';
1812
                                                                        datatype <= "01";
1813
                                                                        write_back <='1';                                                       -- im 68000 wird auch erst gelesen
1814
--                                                                      IF state="10" THEN
1815
--                                                                              skipFetch <= '1';
1816
--                                                                      END IF;
1817
                                                                        IF opcode(5 downto 4)="00" THEN
1818
                                                                                set_exec(Regwrena) <= '1';
1819
                                                                        END IF;
1820
                                                                ELSE
1821
                                                                        trap_illegal <= '1';
1822
                                                                        trapmake <= '1';
1823
                                                                END IF;
1824
                                                        ELSE                                                                                    --clr
1825
                                                                ea_build_now <= '1';
1826
                                                                write_back <='1';
1827
                                                                set_exec(opcAND) <= '1';
1828
                                                        IF cpu(0)='1' AND state="10" THEN
1829
                                                                skipFetch <= '1';
1830
                                                        END IF;
1831
                                                                IF setexecOPC='1' THEN
1832
                                                                        set(OP1out_zero) <= '1';
1833
                                                                END IF;
1834
                                                                IF opcode(5 downto 4)="00" THEN
1835
                                                                        set_exec(Regwrena) <= '1';
1836
                                                                END IF;
1837
                                                        END IF;
1838
                                                WHEN "010"=>
1839
                                                        ea_build_now <= '1';
1840
                                                        IF opcode(7 downto 6)="11" THEN                                 --move to CCR
1841
                                                                datatype <= "01";
1842
                                                                source_lowbits <= '1';
1843
                                                                IF (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1844
                                                                        set(to_CCR) <= '1';
1845
                                                                END IF;
1846
                                                        ELSE                                                                                    --neg
1847
                                                                write_back <='1';
1848
                                                                set_exec(opcADD) <= '1';
1849
                                                                set(addsub) <= '1';
1850
                                                                source_lowbits <= '1';
1851
                                                                IF opcode(5 downto 4)="00" THEN
1852
                                                                        set_exec(Regwrena) <= '1';
1853
                                                                END IF;
1854
                                                                IF setexecOPC='1' THEN
1855
                                                                        set(OP1out_zero) <= '1';
1856
                                                                END IF;
1857
                                                        END IF;
1858
                                                WHEN "011"=>                                                                            --not, move toSR
1859
                                                        IF opcode(7 downto 6)="11" THEN                                 --move to SR
1860
                                                                IF SVmode='1' THEN
1861
                                                                        ea_build_now <= '1';
1862
                                                                        datatype <= "01";
1863
                                                                        source_lowbits <= '1';
1864
                                                                        IF (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1865
                                                                                set(to_SR) <= '1';
1866
                                                                                set(to_CCR) <= '1';
1867
                                                                        END IF;
1868
                                                                        IF exec(to_SR)='1' OR (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1869
                                                                                setstate <="01";
1870
                                                                        END IF;
1871
                                                                ELSE
1872
                                                                        trap_priv <= '1';
1873
                                                                        trapmake <= '1';
1874
                                                                END IF;
1875
                                                        ELSE                                                                                    --not
1876
                                                                ea_build_now <= '1';
1877
                                                                write_back <='1';
1878
                                                                set_exec(opcEOR) <= '1';
1879
                                                                set_exec(ea_data_OP1) <= '1';
1880
                                                                IF opcode(5 downto 3)="000" THEN
1881
                                                                        set_exec(Regwrena) <= '1';
1882
                                                                END IF;
1883
                                                                IF setexecOPC='1' THEN
1884
                                                                        set(OP2out_one) <= '1';
1885
                                                                END IF;
1886
                                                        END IF;
1887
                                                WHEN "100"|"110"=>
1888
                                                        IF opcode(7)='1' THEN                   --movem, ext
1889
                                                                IF opcode(5 downto 3)="000" AND opcode(10)='0' THEN              --ext
1890
                                                                        source_lowbits <= '1';
1891
                                                                        set_exec(opcEXT) <= '1';
1892
                                                                        set_exec(opcMOVE) <= '1';
1893
                                                                        set_exec(Regwrena) <= '1';
1894
                                                                        IF opcode(6)='0' THEN
1895
                                                                                datatype <= "01";               --WORD
1896
                                                                        END IF;
1897
                                                                ELSE                                                                                                    --movem
1898
--                                                              IF opcode(11 downto 7)="10001" OR opcode(11 downto 7)="11001" THEN      --MOVEM
1899
                                                                        ea_only <= '1';
1900
                                                                        set(no_Flags) <= '1';
1901
                                                                        IF opcode(6)='0' THEN
1902
                                                                                datatype <= "01";               --Word transfer
1903
                                                                        END IF;
1904
                                                                        IF (opcode(5 downto 3)="100" OR opcode(5 downto 3)="011") AND state="01" THEN   -- -(An), (An)+
1905
                                                                                set_exec(save_memaddr) <= '1';
1906
                                                                                set_exec(Regwrena) <= '1';
1907
                                                                        END IF;
1908
                                                                        IF opcode(5 downto 3)="100" THEN        -- -(An)
1909
                                                                                movem_presub <= '1';
1910
                                                                                set(subidx) <= '1';
1911
                                                                        END IF;
1912
                                                                        IF state="10" THEN
1913
                                                                                set(Regwrena) <= '1';
1914
                                                                                set(opcMOVE) <= '1';
1915
                                                                        END IF;
1916
                                                                        IF decodeOPC='1' THEN
1917
                                                                                set(get_2ndOPC) <='1';
1918
                                                                                IF opcode(5 downto 3)="010" OR opcode(5 downto 3)="011" OR opcode(5 downto 3)="100" THEN
1919
                                                                                        next_micro_state <= movem1;
1920
                                                                                ELSE
1921
                                                                                        next_micro_state <= nop;
1922
                                                                                        set(ea_build) <= '1';
1923
                                                                                END IF;
1924
                                                                        END IF;
1925
                                                                        IF set(get_ea_now)='1' THEN
1926
                                                                                IF movem_run='1' THEN
1927
                                                                                        set(movem_action) <= '1';
1928
                                                                                        IF opcode(10)='0' THEN
1929
                                                                                                setstate <="11";
1930
                                                                                                set(write_reg) <= '1';
1931
                                                                                        ELSE
1932
                                                                                                setstate <="10";
1933
                                                                                        END IF;
1934
                                                                                        next_micro_state <= movem2;
1935
                                                                                        set(mem_addsub) <= '1';
1936
                                                                                ELSE
1937
                                                                                        setstate <="01";
1938
                                                                                END IF;
1939
                                                                        END IF;
1940
                                                                END IF;
1941
                                                        ELSE
1942
                                                                IF opcode(10)='1' THEN                                          --MUL.L, DIV.L 68020
1943
         --FPGA Multiplier for long                                                     
1944
                                                                        IF MUL_Hardware=1 AND (opcode(6)='0' AND (MUL_Mode=1 OR (cpu(1)='1' AND MUL_Mode=2))) THEN
1945
                                                                                IF decodeOPC='1' THEN
1946
                                                                                        next_micro_state <= nop;
1947
                                                                                        set(get_2ndOPC) <= '1';
1948
                                                                                        set(ea_build) <= '1';
1949
                                                                                END IF;
1950
                                                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND exec(ea_build)='1') THEN
1951
                                                                                        dest_2ndHbits <= '1';
1952
                                                                                        datatype <= "10";
1953
                                                                                        set(opcMULU) <= '1';
1954
                                                                                        set(write_lowlong) <= '1';
1955
                                                                                        IF sndOPC(10)='1' THEN
1956
                                                                                                setstate <="01";
1957
                                                                                                next_micro_state <= mul_end2;
1958
                                                                                        END IF;
1959
                                                                                        set(Regwrena) <= '1';
1960
                                                                                END IF;
1961
                                                                                source_lowbits <='1';
1962
                                                                                datatype <= "10";
1963
 
1964
         --no FPGA Multplier                                            
1965
                                                                        ELSIF (opcode(6)='1' AND (DIV_Mode=1 OR (cpu(1)='1' AND DIV_Mode=2))) OR
1966
                                                                           (opcode(6)='0' AND (MUL_Mode=1 OR (cpu(1)='1' AND MUL_Mode=2))) THEN
1967
                                                                                IF decodeOPC='1' THEN
1968
                                                                                        next_micro_state <= nop;
1969
                                                                                        set(get_2ndOPC) <= '1';
1970
                                                                                        set(ea_build) <= '1';
1971
                                                                                END IF;
1972
                                                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND exec(ea_build)='1')THEN
1973
                                                                                        setstate <="01";
1974
                                                                                        dest_2ndHbits <= '1';
1975
                                                                                        source_2ndLbits <= '1';
1976
                                                                                        IF opcode(6)='1' THEN
1977
                                                                                                next_micro_state <= div1;
1978
                                                                                        ELSE
1979
                                                                                                next_micro_state <= mul1;
1980
                                                                                                set(ld_rot_cnt) <= '1';
1981
                                                                                        END IF;
1982
                                                                                END IF;
1983
                                                                                IF z_error='0' AND set_V_Flag='0' AND set(opcDIVU)='1' THEN
1984
                                                                                        set(Regwrena) <= '1';
1985
                                                                                END IF;
1986
                                                                                source_lowbits <='1';
1987
                                                                                IF nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
1988
                                                                                        dest_hbits <= '1';
1989
                                                                                END IF;
1990
                                                                                datatype <= "10";
1991
                                                                        ELSE
1992
                                                                                trap_illegal <= '1';
1993
                                                                                trapmake <= '1';
1994
                                                                        END IF;
1995
 
1996
                                                                ELSE                                                    --pea, swap
1997
                                                                        IF opcode(6)='1' THEN
1998
                                                                                datatype <= "10";
1999
                                                                                IF opcode(5 downto 3)="000" THEN                --swap
2000
                                                                                        set_exec(opcSWAP) <= '1';
2001
                                                                                        set_exec(Regwrena) <= '1';
2002
                                                                                ELSIF opcode(5 downto 3)="001" THEN             --bkpt
2003
 
2004
                                                                                ELSE                                                                    --pea
2005
                                                                                        ea_only <= '1';
2006
                                                                                        ea_build_now <= '1';
2007
                                                                                        IF nextpass='1' AND micro_state=idle THEN
2008
                                                                                                set(presub) <= '1';
2009
                                                                                                setstackaddr <='1';
2010
                                                                                                setstate <="11";
2011
                                                                                                next_micro_state <= nop;
2012
                                                                                        END IF;
2013
                                                                                        IF set(get_ea_now)='1' THEN
2014
                                                                                                setstate <="01";
2015
                                                                                        END IF;
2016
                                                                                END IF;
2017
                                                                        ELSE
2018
                                                                                IF opcode(5 downto 3)="001" THEN --link.l
2019
                                                                                        datatype <= "10";
2020
                                                                                        set_exec(opcADD) <= '1';                                                --for displacement
2021
                                                                                        set_exec(Regwrena) <= '1';
2022
                                                                                        set(no_Flags) <= '1';
2023
                                                                                        IF decodeOPC='1' THEN
2024
                                                                                                set(linksp) <= '1';
2025
                                                                                                set(longaktion) <= '1';
2026
                                                                                                next_micro_state <= link1;
2027
                                                                                                set(presub) <= '1';
2028
                                                                                                setstackaddr <='1';
2029
                                                                                                set(mem_addsub) <= '1';
2030
                                                                                                source_lowbits <= '1';
2031
                                                                                                source_areg <= '1';
2032
                                                                                                set(store_ea_data) <= '1';
2033
                                                                                        END IF;
2034
                                                                                ELSE                                            --nbcd  
2035
                                                                                        ea_build_now <= '1';
2036
                                                                                        set_exec(use_XZFlag) <= '1';
2037
                                                                                        write_back <='1';
2038
                                                                                        set_exec(opcADD) <= '1';
2039
                                                                                        set_exec(opcSBCD) <= '1';
2040
                                                                                        set(addsub) <= '1';
2041
                                                                                        source_lowbits <= '1';
2042
                                                                                        IF opcode(5 downto 4)="00" THEN
2043
                                                                                                set_exec(Regwrena) <= '1';
2044
                                                                                        END IF;
2045
                                                                                        IF setexecOPC='1' THEN
2046
                                                                                                set(OP1out_zero) <= '1';
2047
                                                                                        END IF;
2048
                                                                                END IF;
2049
                                                                        END IF;
2050
                                                                END IF;
2051
                                                        END IF;
2052
--0x4AXX                                                        
2053
                                                WHEN "101"=>                                            --tst, tas  4aFC - illegal
2054
--                                                      IF opcode(7 downto 2)="111111" THEN   --illegal
2055
                                                        IF opcode(7 downto 3)="11111" AND opcode(2 downto 1)/="00" THEN   --0x4AFC illegal  --0x4AFB BKP Sinclair QL
2056
                                                                trap_illegal <= '1';
2057
                                                                trapmake <= '1';
2058
                                                        ELSE
2059
                                                                ea_build_now <= '1';
2060
                                                                IF setexecOPC='1' THEN
2061
                                                                        source_lowbits <= '1';
2062
                                                                        IF opcode(3)='1' THEN                   --MC68020...
2063
                                                                                source_areg <= '1';
2064
                                                                        END IF;
2065
                                                                END IF;
2066
                                                                set_exec(opcMOVE) <= '1';
2067
                                                                IF opcode(7 downto 6)="11" THEN         --tas
2068
                                                                        set_exec_tas <= '1';
2069
                                                                        write_back <= '1';
2070
                                                                        datatype <= "00";                               --Byte
2071
                                                                        IF opcode(5 downto 4)="00" THEN
2072
                                                                                set_exec(Regwrena) <= '1';
2073
                                                                        END IF;
2074
                                                                END IF;
2075
                                                        END IF;
2076
----                                            WHEN "110"=>
2077
                                                WHEN "111"=>                                    --4EXX
2078
--
2079
--                                                                                      ea_only <= '1';
2080
--                                                                                      ea_build_now <= '1';
2081
--                                                                                      IF nextpass='1' AND micro_state=idle THEN
2082
--                                                                                              set(presub) <= '1';
2083
--                                                                                              setstackaddr <='1';
2084
--                                                                                              set(mem_addsub) <= '1';
2085
--                                                                                              setstate <="11";
2086
--                                                                                              next_micro_state <= nop;
2087
--                                                                                      END IF;
2088
--                                                                                      IF set(get_ea_now)='1' THEN
2089
--                                                                                              setstate <="01";
2090
--                                                                                      END IF;
2091
--                                                              
2092
 
2093
 
2094
 
2095
                                                        IF opcode(7)='1' THEN           --jsr, jmp
2096
                                                                datatype <= "10";
2097
                                                                ea_only <= '1';
2098
                                                                ea_build_now <= '1';
2099
                                                                IF exec(ea_to_pc)='1' THEN
2100
                                                                        next_micro_state <= nop;
2101
                                                                END IF;
2102
                                                                IF nextpass='1' AND micro_state=idle AND opcode(6)='0' THEN
2103
                                                                        set(presub) <= '1';
2104
                                                                        setstackaddr <='1';
2105
                                                                        setstate <="11";
2106
                                                                        next_micro_state <= nopnop;
2107
                                                                END IF;
2108
-- achtung buggefahr                                                            
2109
                                                                IF micro_state=ld_AnXn1 AND brief(8)='0'THEN                     --JMP/JSR n(Ax,Dn)
2110
                                                                        skipFetch <= '1';
2111
                                                                END IF;
2112
                                                                IF state="00" THEN
2113
                                                                        writePC <= '1';
2114
                                                                END IF;
2115
                                                                set(hold_dwr) <= '1';
2116
                                                                IF set(get_ea_now)='1' THEN                                     --jsr
2117
                                                                        IF exec(longaktion)='0' OR long_done='1' THEN
2118
                                                                                skipFetch <= '1';
2119
                                                                        END IF;
2120
                                                                        setstate <="01";
2121
                                                                        set(ea_to_pc) <= '1';
2122
                                                                END IF;
2123
                                                        ELSE                                            --
2124
                                                                CASE opcode(6 downto 0) IS
2125
                                                                        WHEN "1000000"|"1000001"|"1000010"|"1000011"|"1000100"|"1000101"|"1000110"|"1000111"|           --trap
2126
                                                                             "1001000"|"1001001"|"1001010"|"1001011"|"1001100"|"1001101"|"1001110"|"1001111" =>         --trap
2127
                                                                                        trap_trap <='1';
2128
                                                                                        trapmake <= '1';
2129
                                                                        WHEN "1010000"|"1010001"|"1010010"|"1010011"|"1010100"|"1010101"|"1010110"|"1010111"=>          --link word
2130
                                                                                datatype <= "10";
2131
                                                                                set_exec(opcADD) <= '1';                                                --for displacement
2132
                                                                                set_exec(Regwrena) <= '1';
2133
                                                                                set(no_Flags) <= '1';
2134
                                                                                IF decodeOPC='1' THEN
2135
                                                                                        next_micro_state <= link1;
2136
                                                                                        set(presub) <= '1';
2137
                                                                                        setstackaddr <='1';
2138
                                                                                        set(mem_addsub) <= '1';
2139
                                                                                        source_lowbits <= '1';
2140
                                                                                        source_areg <= '1';
2141
                                                                                        set(store_ea_data) <= '1';
2142
                                                                                END IF;
2143
 
2144
                                                                        WHEN "1011000"|"1011001"|"1011010"|"1011011"|"1011100"|"1011101"|"1011110"|"1011111" =>         --unlink
2145
                                                                                datatype <= "10";
2146
                                                                                set_exec(Regwrena) <= '1';
2147
                                                                                set_exec(opcMOVE) <= '1';
2148
                                                                                set(no_Flags) <= '1';
2149
                                                                                IF decodeOPC='1' THEN
2150
                                                                                        setstate <= "01";
2151
                                                                                        next_micro_state <= unlink1;
2152
                                                                                        set(opcMOVE) <= '1';
2153
                                                                                        set(Regwrena) <= '1';
2154
                                                                                        setstackaddr <='1';
2155
                                                                                        source_lowbits <= '1';
2156
                                                                                        source_areg <= '1';
2157
                                                                                END IF;
2158
 
2159
                                                                        WHEN "1100000"|"1100001"|"1100010"|"1100011"|"1100100"|"1100101"|"1100110"|"1100111" =>         --move An,USP
2160
                                                                                IF SVmode='1' THEN
2161
--                                                                                      set(no_Flags) <= '1';
2162
                                                                                        set(to_USP) <= '1';
2163
                                                                                        source_lowbits <= '1';
2164
                                                                                        source_areg <= '1';
2165
                                                                                        datatype <= "10";
2166
                                                                                ELSE
2167
                                                                                        trap_priv <= '1';
2168
                                                                                        trapmake <= '1';
2169
                                                                                END IF;
2170
                                                                        WHEN "1101000"|"1101001"|"1101010"|"1101011"|"1101100"|"1101101"|"1101110"|"1101111" =>         --move USP,An
2171
                                                                                IF SVmode='1' THEN
2172
--                                                                                      set(no_Flags) <= '1';
2173
                                                                                        set(from_USP) <= '1';
2174
                                                                                        datatype <= "10";
2175
                                                                                        set_exec(Regwrena) <= '1';
2176
                                                                                ELSE
2177
                                                                                        trap_priv <= '1';
2178
                                                                                        trapmake <= '1';
2179
                                                                                END IF;
2180
 
2181
                                                                        WHEN "1110000" =>                                       --reset
2182
                                                                                IF SVmode='0' THEN
2183
                                                                                        trap_priv <= '1';
2184
                                                                                        trapmake <= '1';
2185
                                                                                ELSE
2186
                                                                                        set(opcRESET) <= '1';
2187
                                                                                        IF decodeOPC='1' THEN
2188
                                                                                                set(ld_rot_cnt) <= '1';
2189
                                                                                                set_rot_cnt <= "000000";
2190
                                                                                        END IF;
2191
                                                                                END IF;
2192
 
2193
                                                                        WHEN "1110001" =>                                       --nop
2194
 
2195
                                                                        WHEN "1110010" =>                                       --stop
2196
                                                                                IF SVmode='0' THEN
2197
                                                                                        trap_priv <= '1';
2198
                                                                                        trapmake <= '1';
2199
                                                                                ELSE
2200
                                                                                        IF decodeOPC='1' THEN
2201
                                                                                                setnextpass <= '1';
2202
                                                                                                set_stop <= '1';
2203
                                                                                        END IF;
2204
                                                                                        IF stop='1' THEN
2205
                                                                                                skipFetch <= '1';
2206
                                                                                        END IF;
2207
 
2208
                                                                                END IF;
2209
 
2210
                                                                        WHEN "1110011"|"1110111" =>                                                                     --rte/rtr
2211
                                                                                IF SVmode='1' OR opcode(2)='1' THEN
2212
                                                                                        IF decodeOPC='1' THEN
2213
                                                                                                setstate <= "10";
2214
                                                                                                set(postadd) <= '1';
2215
                                                                                                setstackaddr <= '1';
2216
                                                                                                IF opcode(2)='1' THEN
2217
                                                                                                        set(directCCR) <= '1';
2218
                                                                                                ELSE
2219
                                                                                                        set(directSR) <= '1';
2220
                                                                                                END IF;
2221
                                                                                                next_micro_state <= rte1;
2222
                                                                                        END IF;
2223
                                                                                ELSE
2224
                                                                                        trap_priv <= '1';
2225
                                                                                        trapmake <= '1';
2226
                                                                                END IF;
2227
 
2228
                                                                        WHEN "1110100" =>                                                                       --rtd
2229
                                                                                datatype <= "10";
2230
                                                                                IF decodeOPC='1' THEN
2231
                                                                                        setstate <= "10";
2232
                                                                                        set(postadd) <= '1';
2233
                                                                                        setstackaddr <= '1';
2234
                                                                                        set(direct_delta) <= '1';
2235
                                                                                        set(directPC) <= '1';
2236
                                                                                        set_direct_data <= '1';
2237
                                                                                        next_micro_state <= rtd1;
2238
                                                                                END IF;
2239
 
2240
 
2241
                                                                        WHEN "1110101" =>                                                                       --rts
2242
                                                                                datatype <= "10";
2243
                                                                                IF decodeOPC='1' THEN
2244
                                                                                        setstate <= "10";
2245
                                                                                        set(postadd) <= '1';
2246
                                                                                        setstackaddr <= '1';
2247
                                                                                        set(direct_delta) <= '1';
2248
                                                                                        set(directPC) <= '1';
2249
                                                                                        next_micro_state <= nopnop;
2250
                                                                                END IF;
2251
 
2252
                                                                        WHEN "1110110" =>                                                                       --trapv
2253
                                                                                IF decodeOPC='1' THEN
2254
                                                                                        setstate <= "01";
2255
                                                                                END IF;
2256
                                                                                IF Flags(1)='1' AND state="01" THEN
2257
                                                                                        trap_trapv <= '1';
2258
                                                                                        trapmake <= '1';
2259
                                                                                END IF;
2260
 
2261
                                                                        WHEN "1111010"|"1111011" =>                                                                     --movec
2262
                                                                                IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
2263
                                                                                        trap_illegal <= '1';
2264
                                                                                        trapmake <= '1';
2265
                                                                                ELSIF SVmode='0' THEN
2266
                                                                                        trap_priv <= '1';
2267
                                                                                        trapmake <= '1';
2268
                                                                                ELSE
2269
                                                                                        datatype <= "10";       --Long
2270
                                                                                        IF last_data_read(11 downto 0)=X"800" THEN
2271
                                                                                                set(from_USP) <= '1';
2272
                                                                                                IF opcode(0)='1' THEN
2273
                                                                                                        set(to_USP) <= '1';
2274
                                                                                                END IF;
2275
                                                                                        END IF;
2276
                                                                                        IF opcode(0)='0' THEN
2277
                                                                                                set_exec(movec_rd) <= '1';
2278
                                                                                        ELSE
2279
                                                                                                set_exec(movec_wr) <= '1';
2280
                                                                                        END IF;
2281
                                                                                        IF decodeOPC='1' THEN
2282
                                                                                                next_micro_state <= movec1;
2283
                                                                                                getbrief <='1';
2284
                                                                                        END IF;
2285
                                                                                END IF;
2286
 
2287
                                                                        WHEN OTHERS =>
2288
                                                                                trap_illegal <= '1';
2289
                                                                                trapmake <= '1';
2290
                                                                END CASE;
2291
                                                        END IF;
2292
                                                WHEN OTHERS => NULL;
2293
                                        END CASE;
2294
                                END IF;
2295
--                                      
2296
---- 0101 ----------------------------------------------------------------------------          
2297
                        WHEN "0101" =>                                                          --subq, addq    
2298
 
2299
                                        IF opcode(7 downto 6)="11" THEN --dbcc
2300
                                                IF opcode(5 downto 3)="001" THEN --dbcc
2301
                                                        IF decodeOPC='1' THEN
2302
                                                                next_micro_state <= dbcc1;
2303
                                                                set(OP2out_one) <= '1';
2304
                                                                data_is_source <= '1';
2305
                                                        END IF;
2306
                                                ELSE                            --Scc
2307
                                                        datatype <= "00";                       --Byte
2308
                                                        ea_build_now <= '1';
2309
                                                        write_back <= '1';
2310
                                                        set_exec(opcScc) <= '1';
2311
                                                        IF cpu(0)='1' AND state="10" THEN
2312
                                                                skipFetch <= '1';
2313
                                                        END IF;
2314
                                                        IF opcode(5 downto 4)="00" THEN
2315
                                                                set_exec(Regwrena) <= '1';
2316
                                                        END IF;
2317
                                                END IF;
2318
                                        ELSE                                    --addq, subq
2319
                                                ea_build_now <= '1';
2320
                                                IF opcode(5 downto 3)="001" THEN
2321
                                                        set(no_Flags) <= '1';
2322
                                                END IF;
2323
                                                IF opcode(8)='1' THEN
2324
                                                        set(addsub) <= '1';
2325
                                                END IF;
2326
                                                write_back <= '1';
2327
                                                set_exec(opcADDQ) <= '1';
2328
                                                set_exec(opcADD) <= '1';
2329
                                                set_exec(ea_data_OP1) <= '1';
2330
                                                IF opcode(5 downto 4)="00" THEN
2331
                                                        set_exec(Regwrena) <= '1';
2332
                                                END IF;
2333
                                        END IF;
2334
--                              
2335
---- 0110 ----------------------------------------------------------------------------          
2336
                        WHEN "0110" =>                          --bra,bsr,bcc
2337
                                datatype <= "10";
2338
 
2339
                                IF micro_state=idle THEN
2340
                                        IF opcode(11 downto 8)="0001" THEN              --bsr
2341
                                                set(presub) <= '1';
2342
                                                setstackaddr <='1';
2343
                                                IF opcode(7 downto 0)="11111111" THEN
2344
                                                        next_micro_state <= bsr2;
2345
                                                        set(longaktion) <= '1';
2346
                                                ELSIF opcode(7 downto 0)="00000000" THEN
2347
                                                        next_micro_state <= bsr2;
2348
                                                ELSE
2349
                                                        next_micro_state <= bsr1;
2350
                                                        setstate <= "11";
2351
                                                        writePC <= '1';
2352
                                                END IF;
2353
                                        ELSE                                                                    --bra
2354
                                                IF opcode(7 downto 0)="11111111" THEN
2355
                                                        next_micro_state <= bra1;
2356
                                                        set(longaktion) <= '1';
2357
                                                ELSIF opcode(7 downto 0)="00000000" THEN
2358
                                                        next_micro_state <= bra1;
2359
                                                ELSE
2360
                                                        setstate <= "01";
2361
                                                        next_micro_state <= bra1;
2362
                                                END IF;
2363
                                        END IF;
2364
                                END IF;
2365
 
2366
-- 0111 ----------------------------------------------------------------------------            
2367
                        WHEN "0111" =>                          --moveq
2368
--                              IF opcode(8)='0' THEN   -- Cloanto's Amiga Forver ROMs have mangled moveq instructions with a 1 here...
2369
                                        datatype <= "10";               --Long
2370
                                        set_exec(Regwrena) <= '1';
2371
                                        set_exec(opcMOVEQ) <= '1';
2372
                                        set_exec(opcMOVE) <= '1';
2373
                                        dest_hbits <= '1';
2374
--                              ELSE
2375
--                                      trap_illegal <= '1';
2376
--                                      trapmake <= '1';
2377
--                              END IF;
2378
 
2379
---- 1000 ----------------------------------------------------------------------------          
2380
                        WHEN "1000" =>                                                          --or    
2381
                                IF opcode(7 downto 6)="11" THEN --divu, divs
2382
                                        IF DIV_Mode/=3 THEN
2383
                                                IF opcode(5 downto 4)="00" THEN --Dn, An
2384
                                                        regdirectsource <= '1';
2385
                                                END IF;
2386
                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2387
                                                        setstate <="01";
2388
                                                        next_micro_state <= div1;
2389
                                                END IF;
2390
                                                ea_build_now <= '1';
2391
                                                IF z_error='0' AND set_V_Flag='0' THEN
2392
                                                        set_exec(Regwrena) <= '1';
2393
                                                END IF;
2394
                                                        source_lowbits <='1';
2395
                                                IF nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2396
                                                        dest_hbits <= '1';
2397
                                                END IF;
2398
                                                datatype <= "01";
2399
                                        ELSE
2400
                                                trap_illegal <= '1';
2401
                                                trapmake <= '1';
2402
                                        END IF;
2403
 
2404
                                ELSIF opcode(8)='1' AND opcode(5 downto 4)="00" THEN    --sbcd, pack , unpack
2405
                                        IF opcode(7 downto 6)="00" THEN --sbcd
2406
                                                build_bcd <= '1';
2407
                                                set_exec(opcADD) <= '1';
2408
                                                set_exec(opcSBCD) <= '1';
2409
                                                set(addsub) <= '1';
2410
                                        ELSIF opcode(7 downto 6)="01" OR opcode(7 downto 6)="10" THEN   --pack , unpack
2411
                                                set_exec(ea_data_OP1) <= '1';
2412
                                                set(no_Flags) <= '1';
2413
                                                source_lowbits <='1';
2414
                                                IF opcode(7 downto 6) = "01" THEN       --pack
2415
                                                        set_exec(opcPACK) <= '1';
2416
                                                        datatype <= "01";                               --Word
2417
                                                ELSE                                                            --unpk
2418
                                                        set_exec(opcUNPACK) <= '1';
2419
                                                        datatype <= "00";                               --Byte
2420
                                                END IF;
2421
                                                IF opcode(3)='0' THEN
2422
                                                        IF opcode(7 downto 6) = "01" THEN       --pack
2423
                                                                set_datatype <= "00";           --Byte
2424
                                                        ELSE                                                            --unpk
2425
                                                                set_datatype <= "01";           --Word
2426
                                                        END IF;
2427
                                                        set_exec(Regwrena) <= '1';
2428
                                                        dest_hbits <= '1';
2429
                                                        IF decodeOPC='1' THEN
2430
                                                                next_micro_state <= nop;
2431
--                                                              set_direct_data <= '1';
2432
                                                                set(store_ea_packdata) <= '1';
2433
                                                                set(store_ea_data) <= '1';
2434
                                                        END IF;
2435
                                                ELSE                            -- pack -(Ax),-(Ay)
2436
                                                        write_back <= '1';
2437
                                                        IF decodeOPC='1' THEN
2438
                                                                next_micro_state <= pack1;
2439
                                                                set_direct_data <= '1';
2440
                                                        END IF;
2441
                                                END IF;
2442
                                        ELSE
2443
                                                trap_illegal <= '1';
2444
                                                trapmake <= '1';
2445
                                        END IF;
2446
                                ELSE                                                                    --or
2447
                                        set_exec(opcOR) <= '1';
2448
                                        build_logical <= '1';
2449
                                END IF;
2450
 
2451
---- 1001, 1101 -----------------------------------------------------------------------         
2452
                        WHEN "1001"|"1101" =>                                           --sub, add      
2453
                                set_exec(opcADD) <= '1';
2454
                                ea_build_now <= '1';
2455
                                IF opcode(14)='0' THEN
2456
                                        set(addsub) <= '1';
2457
                                END IF;
2458
                                IF opcode(7 downto 6)="11" THEN --      --adda, suba
2459
                                        IF opcode(8)='0' THEN    --adda.w, suba.w
2460
                                                datatype <= "01";       --Word
2461
                                        END IF;
2462
                                        set_exec(Regwrena) <= '1';
2463
                                        source_lowbits <='1';
2464
                                        IF opcode(3)='1' THEN
2465
                                                source_areg <= '1';
2466
                                        END IF;
2467
                                        set(no_Flags) <= '1';
2468
                                        IF setexecOPC='1' THEN
2469
                                                dest_areg <='1';
2470
                                                dest_hbits <= '1';
2471
                                        END IF;
2472
                                ELSE
2473
                                        IF opcode(8)='1' AND opcode(5 downto 4)="00" THEN               --addx, subx
2474
                                                build_bcd <= '1';
2475
                                        ELSE                                                    --sub, add
2476
                                                build_logical <= '1';
2477
                                        END IF;
2478
                                END IF;
2479
 
2480
--                              
2481
---- 1010 ----------------------------------------------------------------------------          
2482
                        WHEN "1010" =>                                                  --Trap 1010
2483
                                trap_1010 <= '1';
2484
                                trapmake <= '1';
2485
---- 1011 ----------------------------------------------------------------------------          
2486
                        WHEN "1011" =>                                                  --eor, cmp
2487
                                ea_build_now <= '1';
2488
                                IF opcode(7 downto 6)="11" THEN --CMPA
2489
                                        IF opcode(8)='0' THEN    --cmpa.w
2490
                                                datatype <= "01";       --Word
2491
                                                set_exec(opcCPMAW) <= '1';
2492
                                        END IF;
2493
                                        set_exec(opcCMP) <= '1';
2494
                                        IF setexecOPC='1' THEN
2495
                                                source_lowbits <='1';
2496
                                                IF opcode(3)='1' THEN
2497
                                                        source_areg <= '1';
2498
                                                END IF;
2499
                                                dest_areg <='1';
2500
                                                dest_hbits <= '1';
2501
                                        END IF;
2502
                                        set(addsub) <= '1';
2503
                                ELSE
2504
                                        IF opcode(8)='1' THEN
2505
                                                IF opcode(5 downto 3)="001" THEN                --cmpm
2506
                                                        set_exec(opcCMP) <= '1';
2507
                                                        IF decodeOPC='1' THEN
2508
                                                                IF opcode(2 downto 0)="111" THEN
2509
                                                                        set(use_SP) <= '1';
2510
                                                                END IF;
2511
                                                                setstate <= "10";
2512
                                                                set(update_ld) <= '1';
2513
                                                                set(postadd) <= '1';
2514
                                                                next_micro_state <= cmpm;
2515
                                                        END IF;
2516
                                                        set_exec(ea_data_OP1) <= '1';
2517
                                                        set(addsub) <= '1';
2518
                                                ELSE                                            --EOR
2519
                                                        build_logical <= '1';
2520
                                                        set_exec(opcEOR) <= '1';
2521
                                                END IF;
2522
                                        ELSE                                                    --CMP
2523
                                                build_logical <= '1';
2524
                                                set_exec(opcCMP) <= '1';
2525
                                                set(addsub) <= '1';
2526
                                        END IF;
2527
                                END IF;
2528
--                              
2529
---- 1100 ----------------------------------------------------------------------------          
2530
                        WHEN "1100" =>                                                          --and, exg
2531
                                IF opcode(7 downto 6)="11" THEN --mulu, muls
2532
                                        IF MUL_Mode/=3 THEN
2533
                                                IF opcode(5 downto 4)="00" THEN --Dn, An
2534
                                                        regdirectsource <= '1';
2535
                                                END IF;
2536
                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2537
                                                        IF MUL_Hardware=0 THEN
2538
                                                                setstate <="01";
2539
                                                                set(ld_rot_cnt) <= '1';
2540
                                                                next_micro_state <= mul1;
2541
                                                        ELSE
2542
                                                                set_exec(write_lowlong) <= '1';
2543
                                                                set_exec(opcMULU) <= '1';
2544
                                                        END IF;
2545
                                                END IF;
2546
                                                ea_build_now <= '1';
2547
                                                set_exec(Regwrena) <= '1';
2548
                                                source_lowbits <='1';
2549
                                                IF (nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2550
                                                        dest_hbits <= '1';
2551
                                                END IF;
2552
                                                datatype <= "01";
2553
                                                IF setexecOPC='1' THEN
2554
                                                        datatype <= "10";
2555
                                                END IF;
2556
 
2557
                                        ELSE
2558
                                                trap_illegal <= '1';
2559
                                                trapmake <= '1';
2560
                                        END IF;
2561
 
2562
                                ELSIF opcode(8)='1' AND opcode(5 downto 4)="00" THEN    --exg, abcd
2563
                                        IF opcode(7 downto 6)="00" THEN --abcd
2564
                                                build_bcd <= '1';
2565
                                                set_exec(opcADD) <= '1';
2566
                                                set_exec(opcABCD) <= '1';
2567
                                        ELSE                                                                    --exg
2568
                                                datatype <= "10";
2569
                                                set(Regwrena) <= '1';
2570
                                                set(exg) <= '1';
2571
                                                IF opcode(6)='1' AND opcode(3)='1' THEN
2572
                                                        dest_areg <= '1';
2573
                                                        source_areg <= '1';
2574
                                                END IF;
2575
                                                IF decodeOPC='1' THEN
2576
                                                        setstate <= "01";
2577
                                                ELSE
2578
                                                        dest_hbits <= '1';
2579
                                                END IF;
2580
                                        END IF;
2581
                                ELSE                                                                    --and
2582
                                        set_exec(opcAND) <= '1';
2583
                                        build_logical <= '1';
2584
                                END IF;
2585
--                              
2586
---- 1110 ----------------------------------------------------------------------------          
2587
                        WHEN "1110" =>                                                          --rotation / bitfield
2588
                                IF opcode(7 downto 6)="11" THEN
2589
                                        IF opcode(11)='0' THEN
2590
                                                IF BarrelShifter=0 THEN
2591
                                                        set_exec(opcROT) <= '1';
2592
                                                ELSE
2593
                                                        set_exec(exec_BS) <='1';
2594
                                                END IF;
2595
                                                ea_build_now <= '1';
2596
                                                datatype <= "01";
2597
                                                set_rot_bits <= opcode(10 downto 9);
2598
                                                set_exec(ea_data_OP1) <= '1';
2599
                                                write_back <= '1';
2600
                                        ELSE            --bitfield
2601
                                                IF BitField=0 OR (cpu(1)='0' AND BitField=2) THEN
2602
                                                        trap_illegal <= '1';
2603
                                                        trapmake <= '1';
2604
                                                ELSE
2605
                                                        IF decodeOPC='1' THEN
2606
                                                                next_micro_state <= nop;
2607
                                                                set(get_2ndOPC) <= '1';
2608
                                                                set(ea_build) <= '1';
2609
                                                        END IF;
2610
                                                        set_exec(opcBF) <= '1';
2611
--              000-bftst, 001-bfextu, 010-bfchg, 011-bfexts, 100-bfclr, 101-bfff0, 110-bfset, 111-bfins                                                                
2612
                                                        IF opcode(10)='1' OR opcode(8)='0' THEN
2613
                                                                set_exec(opcBFwb) <= '1';                       --'1' for tst,chg,clr,ffo,set,ins    --'0' for extu,exts
2614
                                                        END IF;
2615
                                                        IF opcode(10 downto 8)="111" THEN       --BFINS
2616
                                                                set_exec(ea_data_OP1) <= '1';
2617
                                                        END IF;
2618
 
2619
                                                        IF opcode(10 downto 8)="010" OR opcode(10 downto 8)="100" OR opcode(10 downto 8)="110" OR opcode(10 downto 8)="111" THEN
2620
                                                                write_back <= '1';
2621
                                                        END IF;
2622
                                                        ea_only <= '1';
2623
                                                        IF opcode(10 downto 8)="001" OR opcode(10 downto 8)="011" OR opcode(10 downto 8)="101" THEN
2624
                                                                set_exec(Regwrena) <= '1';
2625
                                                        END IF;
2626
                                                        IF opcode(4 downto 3)="00" THEN
2627
                                                                IF opcode(10 downto 8)/="000" THEN
2628
                                                                        set_exec(Regwrena) <= '1';
2629
                                                                END IF;
2630
                                                                IF exec(ea_build)='1' THEN
2631
                                                                        dest_2ndHbits <= '1';
2632
                                                                        source_2ndLbits <= '1';
2633
                                                                        set(get_bfoffset) <='1';
2634
                                                                        setstate <= "01";
2635
                                                                END IF;
2636
                                                        END IF;
2637
                                                        IF set(get_ea_now)='1' THEN
2638
                                                                setstate <= "01";
2639
                                                        END IF;
2640
                                                        IF exec(get_ea_now)='1' THEN
2641
                                                                dest_2ndHbits <= '1';
2642
                                                                source_2ndLbits <= '1';
2643
                                                                set(get_bfoffset) <='1';
2644
                                                                setstate <= "01";
2645
                                                                set(mem_addsub) <='1';
2646
                                                                next_micro_state <= bf1;
2647
                                                        END IF;
2648
 
2649
                                                        IF setexecOPC='1' THEN
2650
                                                                IF opcode(10 downto 8)="111" THEN       --BFINS
2651
                                                                        source_2ndHbits <= '1';
2652
                                                                ELSE
2653
                                                                        source_lowbits <= '1';
2654
                                                                END IF;
2655
                                                                IF opcode(10 downto 8)="001" OR opcode(10 downto 8)="011" OR opcode(10 downto 8)="101" THEN     --BFEXT, BFFFO
2656
                                                                        dest_2ndHbits <= '1';
2657
                                                                END IF;
2658
                                                        END IF;
2659
                                                END IF;
2660
                                        END IF;
2661
                                ELSE
2662
                                        data_is_source <= '1';
2663
                                        IF BarrelShifter=0 OR (cpu(1)='0' AND BarrelShifter=2) THEN
2664
 
2665
                                                set_exec(opcROT) <= '1';
2666
                                                set_rot_bits <= opcode(4 downto 3);
2667
                                                set_exec(Regwrena) <= '1';
2668
                                                IF decodeOPC='1' THEN
2669
                                                        IF opcode(5)='1' THEN
2670
                                                                next_micro_state <= rota1;
2671
                                                                set(ld_rot_cnt) <= '1';
2672
                                                                setstate <= "01";
2673
                                                        ELSE
2674
                                                                set_rot_cnt(2 downto 0) <= opcode(11 downto 9);
2675
                                                                IF opcode(11 downto 9)="000" THEN
2676
                                                                        set_rot_cnt(3) <='1';
2677
                                                                ELSE
2678
                                                                        set_rot_cnt(3) <='0';
2679
                                                                END IF;
2680
                                                        END IF;
2681
                                                END IF;
2682
                                        ELSE
2683
                                                set_exec(exec_BS) <='1';
2684
                                                set_rot_bits <= opcode(4 downto 3);
2685
                                                set_exec(Regwrena) <= '1';
2686
                                        END IF;
2687
                                END IF;
2688
--                                                      
2689
----      ----------------------------------------------------------------------------          
2690
                        WHEN OTHERS =>
2691
                                trap_1111 <= '1';
2692
                                trapmake <= '1';
2693
 
2694
                END CASE;
2695
 
2696
-- use for AND, OR, EOR, CMP
2697
                IF build_logical='1' THEN
2698
                        ea_build_now <= '1';
2699
                        IF set_exec(opcCMP)='0' AND (opcode(8)='0' OR opcode(5 downto 4)="00" ) THEN
2700
                                set_exec(Regwrena) <= '1';
2701
                        END IF;
2702
                        IF opcode(8)='1' THEN
2703
                                write_back <= '1';
2704
                                set_exec(ea_data_OP1) <= '1';
2705
                        ELSE
2706
                                source_lowbits <='1';
2707
                                IF opcode(3)='1' THEN           --use for cmp
2708
                                        source_areg <= '1';
2709
                                END IF;
2710
                                IF setexecOPC='1' THEN
2711
                                        dest_hbits <= '1';
2712
                                END IF;
2713
                        END IF;
2714
                END IF;
2715
 
2716
-- use for ABCD, SBCD
2717
                IF build_bcd='1' THEN
2718
                        set_exec(use_XZFlag) <= '1';
2719
                        set_exec(ea_data_OP1) <= '1';
2720
                        write_back <= '1';
2721
                        source_lowbits <='1';
2722
                        IF opcode(3)='1' THEN
2723
                                IF decodeOPC='1' THEN
2724
                                        IF opcode(2 downto 0)="111" THEN
2725
                                                set(use_SP) <= '1';
2726
                                        END IF;
2727
                                        setstate <= "10";
2728
                                        set(update_ld) <= '1';
2729
                                        set(presub) <= '1';
2730
                                        next_micro_state <= op_AxAy;
2731
                                        dest_areg <= '1';                               --???
2732
                                END IF;
2733
                        ELSE
2734
                                dest_hbits <= '1';
2735
                                set_exec(Regwrena) <= '1';
2736
                        END IF;
2737
                END IF;
2738
 
2739
 
2740
------------------------------------------------------------------------------          
2741
------------------------------------------------------------------------------          
2742
                IF set_Z_error='1'  THEN                -- divu by zero
2743
                        trapmake <= '1';                        --wichtig for USP
2744
                        IF trapd='0' THEN
2745
                                writePC <= '1';
2746
                        END IF;
2747
                END IF;
2748
 
2749
-----------------------------------------------------------------------------
2750
-- execute microcode
2751
-----------------------------------------------------------------------------
2752
                IF rising_edge(clk) THEN
2753
                IF Reset='1' THEN
2754
                                micro_state <= ld_nn;
2755
                        ELSIF clkena_lw='1' THEN
2756
                                trapd <= trapmake;
2757
                                micro_state <= next_micro_state;
2758
                        END IF;
2759
                END IF;
2760
 
2761
                        CASE micro_state IS
2762
                                WHEN ld_nn =>           -- (nnnn).w/l=>
2763
                                        set(get_ea_now) <='1';
2764
                                        setnextpass <= '1';
2765
                                        set(addrlong) <= '1';
2766
 
2767
                                WHEN st_nn =>           -- =>(nnnn).w/l
2768
                                        setstate <= "11";
2769
                                        set(addrlong) <= '1';
2770
                                        next_micro_state <= nop;
2771
 
2772
                                WHEN ld_dAn1 =>         -- d(An)=>, --d(PC)=>
2773
                                        set(get_ea_now) <='1';
2774
                                        setdisp <= '1';         --word
2775
                                        setnextpass <= '1';
2776
 
2777
                                WHEN ld_AnXn1 =>                -- d(An,Xn)=>, --d(PC,Xn)=>
2778
                                        IF brief(8)='0' OR extAddr_Mode=0 OR (cpu(1)='0' AND extAddr_Mode=2) THEN
2779
                                                setdisp <= '1';         --byte  
2780
                                                setdispbyte <= '1';
2781
                                                setstate <= "01";
2782
                                                set(briefext) <= '1';
2783
                                                next_micro_state <= ld_AnXn2;
2784
                                        ELSE
2785
                                                IF brief(7)='1'THEN             --suppress Base
2786
                                                        set_suppress_base <= '1';
2787
                                                ELSIF exec(dispouter)='1' THEN
2788
                                                        set(dispouter) <= '1';
2789
                                                END IF;
2790
                                                IF brief(5)='0' THEN --NULL Base Displacement
2791
                                                        setstate <= "01";
2792
                                                ELSE  --WORD Base Displacement
2793
                                                        IF brief(4)='1' THEN
2794
                                                                set(longaktion) <= '1'; --LONG Base Displacement
2795
                                                        END IF;
2796
                                                END IF;
2797
                                                next_micro_state <= ld_229_1;
2798
                                        END IF;
2799
 
2800
                                WHEN ld_AnXn2 =>
2801
                                        set(get_ea_now) <='1';
2802
                                        setdisp <= '1';         --brief
2803
                                        setnextpass <= '1';
2804
 
2805
-------------------------------------------------------------------------------------                                   
2806
 
2807
                                WHEN ld_229_1 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2808
                                        IF brief(5)='1' THEN    --Base Displacement
2809
                                                setdisp <= '1';         --add last_data_read
2810
                                        END IF;
2811
                                        IF brief(6)='0' AND brief(2)='0' THEN --Preindex or Index
2812
                                                set(briefext) <= '1';
2813
                                                setstate <= "01";
2814
                                                IF brief(1 downto 0)="00" THEN
2815
                                                        next_micro_state <= ld_AnXn2;
2816
                                                ELSE
2817
                                                        next_micro_state <= ld_229_2;
2818
                                                END IF;
2819
                                        ELSE
2820
                                                IF brief(1 downto 0)="00" THEN
2821
                                                        set(get_ea_now) <='1';
2822
                                                        setnextpass <= '1';
2823
                                                ELSE
2824
                                                        setstate <= "10";
2825
                                                        set(longaktion) <= '1';
2826
                                                        next_micro_state <= ld_229_3;
2827
                                                END IF;
2828
                                        END IF;
2829
 
2830
                                WHEN ld_229_2 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2831
                                        setdisp <= '1';         -- add Index
2832
                                        setstate <= "10";
2833
                                        set(longaktion) <= '1';
2834
                                        next_micro_state <= ld_229_3;
2835
 
2836
                                WHEN ld_229_3 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2837
                                        set_suppress_base <= '1';
2838
                                        set(dispouter) <= '1';
2839
                                        IF brief(1)='0' THEN --NULL Outer Displacement
2840
                                                setstate <= "01";
2841
                                        ELSE  --WORD Outer Displacement
2842
                                                IF brief(0)='1' THEN
2843
                                                        set(longaktion) <= '1'; --LONG Outer Displacement
2844
                                                END IF;
2845
                                        END IF;
2846
                                        next_micro_state <= ld_229_4;
2847
 
2848
                                WHEN ld_229_4 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2849
                                        IF brief(1)='1' THEN  -- Outer Displacement
2850
                                                setdisp <= '1';   --add last_data_read
2851
                                        END IF;
2852
                                        IF brief(6)='0' AND brief(2)='1' THEN --Postindex
2853
                                                set(briefext) <= '1';
2854
                                                setstate <= "01";
2855
                                                next_micro_state <= ld_AnXn2;
2856
                                        ELSE
2857
                                                set(get_ea_now) <='1';
2858
                                                setnextpass <= '1';
2859
                                        END IF;
2860
 
2861
----------------------------------------------------------------------------------------                                
2862
                                WHEN st_dAn1 =>         -- =>d(An)
2863
                                        setstate <= "11";
2864
                                        setdisp <= '1';         --word
2865
                                        next_micro_state <= nop;
2866
 
2867
                                WHEN st_AnXn1 =>                -- =>d(An,Xn)
2868
                                        IF brief(8)='0' OR extAddr_Mode=0 OR (cpu(1)='0' AND extAddr_Mode=2) THEN
2869
                                                setdisp <= '1';         --byte  
2870
                                                setdispbyte <= '1';
2871
                                                setstate <= "01";
2872
                                                set(briefext) <= '1';
2873
                                                next_micro_state <= st_AnXn2;
2874
                                        ELSE
2875
                                                IF brief(7)='1'THEN             --suppress Base
2876
                                                        set_suppress_base <= '1';
2877
--                                              ELSIF exec(dispouter)='1' THEN
2878
--                                                      set(dispouter) <= '1';
2879
                                                END IF;
2880
                                                IF brief(5)='0' THEN --NULL Base Displacement
2881
                                                        setstate <= "01";
2882
                                                ELSE  --WORD Base Displacement
2883
                                                        IF brief(4)='1' THEN
2884
                                                                set(longaktion) <= '1'; --LONG Base Displacement
2885
                                                        END IF;
2886
                                                END IF;
2887
                                                next_micro_state <= st_229_1;
2888
                                        END IF;
2889
 
2890
                                WHEN st_AnXn2 =>
2891
                                        setstate <= "11";
2892
                                        setdisp <= '1';         --brief 
2893
                                        next_micro_state <= nop;
2894
 
2895
-------------------------------------------------------------------------------------                                   
2896
 
2897
                                WHEN st_229_1 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2898
                                        IF brief(5)='1' THEN    --Base Displacement
2899
                                                setdisp <= '1';         --add last_data_read
2900
                                        END IF;
2901
                                        IF brief(6)='0' AND brief(2)='0' THEN --Preindex or Index
2902
                                                set(briefext) <= '1';
2903
                                                setstate <= "01";
2904
                                                IF brief(1 downto 0)="00" THEN
2905
                                                        next_micro_state <= st_AnXn2;
2906
                                                ELSE
2907
                                                        next_micro_state <= st_229_2;
2908
                                                END IF;
2909
                                        ELSE
2910
                                                IF brief(1 downto 0)="00" THEN
2911
                                                        setstate <= "11";
2912
                                                        next_micro_state <= nop;
2913
                                                ELSE
2914
                                                        set(hold_dwr) <= '1';
2915
                                                        setstate <= "10";
2916
                                                        set(longaktion) <= '1';
2917
                                                        next_micro_state <= st_229_3;
2918
                                                END IF;
2919
                                        END IF;
2920
 
2921
                                WHEN st_229_2 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2922
                                        setdisp <= '1';         -- add Index
2923
                                        set(hold_dwr) <= '1';
2924
                                        setstate <= "10";
2925
                                        set(longaktion) <= '1';
2926
                                        next_micro_state <= st_229_3;
2927
 
2928
                                WHEN st_229_3 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2929
                                        set(hold_dwr) <= '1';
2930
                                        set_suppress_base <= '1';
2931
                                        set(dispouter) <= '1';
2932
                                        IF brief(1)='0' THEN --NULL Outer Displacement
2933
                                                setstate <= "01";
2934
                                        ELSE  --WORD Outer Displacement
2935
                                                IF brief(0)='1' THEN
2936
                                                        set(longaktion) <= '1'; --LONG Outer Displacement
2937
                                                END IF;
2938
                                        END IF;
2939
                                        next_micro_state <= st_229_4;
2940
 
2941
                                WHEN st_229_4 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2942
                                        set(hold_dwr) <= '1';
2943
                                        IF brief(1)='1' THEN  -- Outer Displacement
2944
                                                setdisp <= '1';   --add last_data_read
2945
                                        END IF;
2946
                                        IF brief(6)='0' AND brief(2)='1' THEN --Postindex
2947
                                                set(briefext) <= '1';
2948
                                                setstate <= "01";
2949
                                                next_micro_state <= st_AnXn2;
2950
                                        ELSE
2951
                                                setstate <= "11";
2952
                                                next_micro_state <= nop;
2953
                                        END IF;
2954
 
2955
----------------------------------------------------------------------------------------                                
2956
                                WHEN bra1 =>            --bra
2957
                                        IF exe_condition='1' THEN
2958
                                                TG68_PC_brw <= '1';     --pc+0000
2959
                                                next_micro_state <= nop;
2960
                                                skipFetch <= '1';
2961
                                        END IF;
2962
 
2963
                                WHEN bsr1 =>            --bsr short
2964
                                        TG68_PC_brw <= '1';
2965
                                        next_micro_state <= nop;
2966
 
2967
                                WHEN bsr2 =>            --bsr
2968
                                        IF long_start='0' THEN
2969
                                                TG68_PC_brw <= '1';
2970
                                        END IF;
2971
                                        skipFetch <= '1';
2972
                                        set(longaktion) <= '1';
2973
                                        writePC <= '1';
2974
                                        setstate <= "11";
2975
                                        next_micro_state <= nopnop;
2976
                                        setstackaddr <='1';
2977
                                WHEN nopnop =>          --bsr
2978
                                        next_micro_state <= nop;
2979
 
2980
                                WHEN dbcc1 =>           --dbcc
2981
                                        IF exe_condition='0' THEN
2982
                                                Regwrena_now <= '1';
2983
                                                IF c_out(1)='1' THEN
2984
                                                        skipFetch <= '1';
2985
                                                        next_micro_state <= nop;
2986
                                                        TG68_PC_brw <= '1';
2987
                                                END IF;
2988
                                        END IF;
2989
 
2990
                                WHEN movem1 =>          --movem
2991
                                        IF last_data_read(15 downto 0)/=X"0000" THEN
2992
                                                setstate <="01";
2993
                                                IF opcode(5 downto 3)="100" THEN
2994
                                                        set(mem_addsub) <= '1';
2995
                                                END IF;
2996
                                                next_micro_state <= movem2;
2997
                                        END IF;
2998
                                WHEN movem2 =>          --movem
2999
                                        IF movem_run='0' THEN
3000
                                                setstate <="01";
3001
                                        ELSE
3002
                                                set(movem_action) <= '1';
3003
                                                set(mem_addsub) <= '1';
3004
                                                next_micro_state <= movem2;
3005
                                                IF opcode(10)='0' THEN
3006
                                                        setstate <="11";
3007
                                                        set(write_reg) <= '1';
3008
                                                ELSE
3009
                                                        setstate <="10";
3010
                                                END IF;
3011
                                        END IF;
3012
 
3013
                                WHEN andi =>            --andi
3014
                                        IF opcode(5 downto 4)/="00" THEN
3015
                                                setnextpass <= '1';
3016
                                        END IF;
3017
 
3018
                                WHEN pack1 =>           -- pack -(Ax),-(Ay)
3019
                                        set(hold_ea_data) <= '1';
3020
                                        set(update_ld) <= '1';
3021
                                        setstate <= "10";
3022
                                        set(presub) <= '1';
3023
                                        next_micro_state <= pack2;
3024
                                        dest_areg <= '1';
3025
                                WHEN pack2 =>
3026
                                        set(hold_ea_data) <= '1';
3027
                                        set_direct_data <= '1';
3028
                                        IF opcode(7 downto 6) = "01" THEN       --pack
3029
                                                datatype <= "00";               --Byte
3030
                                        ELSE                                                            --unpk
3031
                                                datatype <= "01";               --Word
3032
                                        END IF;
3033
                                        set(presub) <= '1';
3034
                                        dest_hbits <= '1';
3035
                                        dest_areg <= '1';
3036
                                        setstate <= "10";
3037
                                        next_micro_state <= pack3;
3038
                                WHEN pack3 =>
3039
                                        skipFetch <= '1';
3040
 
3041
                                WHEN op_AxAy =>         -- op -(Ax),-(Ay)
3042
                                        IF opcode(11 downto 9)="111" THEN
3043
                                                set(use_SP) <= '1';
3044
                                        END IF;
3045
                                        set_direct_data <= '1';
3046
                                        set(presub) <= '1';
3047
                                        dest_hbits <= '1';
3048
                                        dest_areg <= '1';
3049
                                        setstate <= "10";
3050
 
3051
                                WHEN cmpm =>            -- cmpm (Ay)+,(Ax)+
3052
                                        IF opcode(11 downto 9)="111" THEN
3053
                                                set(use_SP) <= '1';
3054
                                        END IF;
3055
                                        set_direct_data <= '1';
3056
                                        set(postadd) <= '1';
3057
                                        dest_hbits <= '1';
3058
                                        dest_areg <= '1';
3059
                                        setstate <= "10";
3060
 
3061
                                WHEN link1 =>           -- link
3062
                                        setstate <="11";
3063
                                        source_areg <= '1';
3064
                                        set(opcMOVE) <= '1';
3065
                                        set(Regwrena) <= '1';
3066
                                        next_micro_state <= link2;
3067
                                WHEN link2 =>           -- link
3068
                                        setstackaddr <='1';
3069
                                        set(ea_data_OP2) <= '1';
3070
 
3071
                                WHEN unlink1 =>         -- unlink
3072
                                        setstate <="10";
3073
                                        setstackaddr <='1';
3074
                                        set(postadd) <= '1';
3075
                                        next_micro_state <= unlink2;
3076
                                WHEN unlink2 =>         -- unlink
3077
                                        set(ea_data_OP2) <= '1';
3078
 
3079
                                WHEN trap0 =>           -- TRAP
3080
                                        set(presub) <= '1';
3081
                                        setstackaddr <='1';
3082
                                        setstate <= "11";
3083
                                        IF VBR_Stackframe=1 OR (cpu(0)='1' AND VBR_Stackframe=2) THEN    --68010
3084
                                                set(writePC_add) <= '1';
3085
                                                datatype <= "01";
3086
--                                              set_datatype <= "10";
3087
                                                next_micro_state <= trap1;
3088
                                        ELSE
3089
                                                IF trap_interrupt='1' OR trap_trace='1' OR trap_berr='1' THEN
3090
                                                        writePC <= '1';
3091
                                                END IF;
3092
                                                datatype <= "10";
3093
                                                next_micro_state <= trap2;
3094
                                        END IF;
3095
                                WHEN trap1 =>           -- TRAP
3096
                                        IF trap_interrupt='1' OR trap_trace='1' THEN
3097
                                                writePC <= '1';
3098
                                        END IF;
3099
                                        set(presub) <= '1';
3100
                                        setstackaddr <='1';
3101
                                        setstate <= "11";
3102
                                        datatype <= "10";
3103
                                        next_micro_state <= trap2;
3104
                                WHEN trap2 =>           -- TRAP
3105
                                        set(presub) <= '1';
3106
                                        setstackaddr <='1';
3107
                                        setstate <= "11";
3108
                                        datatype <= "01";
3109
                                        writeSR <= '1';
3110
                                        IF trap_berr='1' THEN
3111
                                                next_micro_state <= trap4;
3112
                                        ELSE
3113
                                                next_micro_state <= trap3;
3114
                                        END IF;
3115
                                WHEN trap3 =>           -- TRAP
3116
                                        set_vectoraddr <= '1';
3117
                                        datatype <= "10";
3118
                                        set(direct_delta) <= '1';
3119
                                        set(directPC) <= '1';
3120
                                        setstate <= "10";
3121
                                        next_micro_state <= nopnop;
3122
 
3123
                                WHEN trap4 =>           -- TRAP
3124
                                        set(presub) <= '1';
3125
                                        setstackaddr <='1';
3126
                                        setstate <= "11";
3127
                                        datatype <= "01";
3128
                                        writeSR <= '1';
3129
                                        next_micro_state <= trap5;
3130
                                WHEN trap5 =>           -- TRAP
3131
                                        set(presub) <= '1';
3132
                                        setstackaddr <='1';
3133
                                        setstate <= "11";
3134
                                        datatype <= "10";
3135
                                        writeSR <= '1';
3136
                                        next_micro_state <= trap6;
3137
                                WHEN trap6 =>           -- TRAP
3138
                                        set(presub) <= '1';
3139
                                        setstackaddr <='1';
3140
                                        setstate <= "11";
3141
                                        datatype <= "01";
3142
                                        writeSR <= '1';
3143
                                        next_micro_state <= trap3;
3144
 
3145
                                WHEN rte1 =>            -- RTE
3146
                                        datatype <= "10";
3147
                                        setstate <= "10";
3148
                                        set(postadd) <= '1';
3149
                                        setstackaddr <= '1';
3150 4 tobiflex
                                        set(directPC) <= '1';
3151
                                        IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) OR opcode(2)='1' THEN     --opcode(2)='1' => opcode is RTR
3152 2 tobiflex
                                                set(update_FC) <= '1';
3153
                                                set(direct_delta) <= '1';
3154
                                        END IF;
3155
                                        next_micro_state <= rte2;
3156
                                WHEN rte2 =>            -- RTE
3157
                                        datatype <= "01";
3158
                                        set(update_FC) <= '1';
3159 4 tobiflex
                                        IF (VBR_Stackframe=1 OR (cpu(0)='1' AND VBR_Stackframe=2)) AND opcode(2)='0' THEN
3160 2 tobiflex
                                                setstate <= "10";
3161
                                                set(postadd) <= '1';
3162
                                                setstackaddr <= '1';
3163
                                                next_micro_state <= rte3;
3164
                                        ELSE
3165
                                                next_micro_state <= nop;
3166
                                        END IF;
3167
                                WHEN rte3 =>            -- RTE
3168
                                        next_micro_state <= nop;
3169
--                                      set(update_FC) <= '1';
3170
 
3171
 
3172
                                WHEN rtd1 =>            -- RTD
3173
                                        next_micro_state <= rtd2;
3174
                                WHEN rtd2 =>            -- RTD
3175
                                        setstackaddr <= '1';
3176
                                        set(Regwrena) <= '1';
3177
 
3178
                                WHEN movec1 =>          -- MOVEC
3179
                                        set(briefext) <= '1';
3180
                                        set_writePCbig <='1';
3181
                                        IF (brief(11 downto 0)=X"000" OR brief(11 downto 0)=X"001" OR brief(11 downto 0)=X"800" OR brief(11 downto 0)=X"801") OR
3182
                                           (cpu(1)='1' AND (brief(11 downto 0)=X"002" OR brief(11 downto 0)=X"802" OR brief(11 downto 0)=X"803" OR brief(11 downto 0)=X"804")) THEN
3183
                                                IF opcode(0)='0' THEN
3184
                                                        set(Regwrena) <= '1';
3185
                                                END IF;
3186
--                                      ELSIF brief(11 downto 0)=X"800"OR brief(11 downto 0)=X"001" OR brief(11 downto 0)=X"000" THEN
3187
--                                              trap_addr_error <= '1';
3188
--                                              trapmake <= '1';
3189
                                        ELSE
3190
                                                trap_illegal <= '1';
3191
                                                trapmake <= '1';
3192
                                        END IF;
3193
 
3194
                                WHEN movep1 =>          -- MOVEP d(An)
3195
                                        setdisp <= '1';
3196
                                        set(mem_addsub) <= '1';
3197
                                        set(mem_byte) <= '1';
3198
                                        set(OP1addr) <= '1';
3199
                                        IF opcode(6)='1' THEN
3200
                                                set(movepl) <= '1';
3201
                                        END IF;
3202
                                        IF opcode(7)='0' THEN
3203
                                                setstate <= "10";
3204
                                        ELSE
3205
                                                setstate <= "11";
3206
                                        END IF;
3207
                                        next_micro_state <= movep2;
3208
                                WHEN movep2 =>
3209
                                        IF opcode(6)='1' THEN
3210
                                                set(mem_addsub) <= '1';
3211
                                            set(OP1addr) <= '1';
3212
                                        END IF;
3213
                                        IF opcode(7)='0' THEN
3214
                                                setstate <= "10";
3215
                                        ELSE
3216
                                                setstate <= "11";
3217
                                        END IF;
3218
                                        next_micro_state <= movep3;
3219
                                WHEN movep3 =>
3220
                                        IF opcode(6)='1' THEN
3221
                                                set(mem_addsub) <= '1';
3222
                                            set(OP1addr) <= '1';
3223
                                                set(mem_byte) <= '1';
3224
                                                IF opcode(7)='0' THEN
3225
                                                        setstate <= "10";
3226
                                                ELSE
3227
                                                        setstate <= "11";
3228
                                                END IF;
3229
                                                next_micro_state <= movep4;
3230
                                        ELSE
3231
                                                datatype <= "01";               --Word
3232
                                        END IF;
3233
                                WHEN movep4 =>
3234
                                        IF opcode(7)='0' THEN
3235
                                                setstate <= "10";
3236
                                        ELSE
3237
                                                setstate <= "11";
3238
                                        END IF;
3239
                                        next_micro_state <= movep5;
3240
                                WHEN movep5 =>
3241
                                        datatype <= "10";               --Long
3242
 
3243
                                WHEN mul1       =>              -- mulu
3244
                                        IF opcode(15)='1' OR MUL_Mode=0 THEN
3245
                                                set_rot_cnt <= "001110";
3246
                                        ELSE
3247
                                                set_rot_cnt <= "011110";
3248
                                        END IF;
3249
                                        setstate <="01";
3250
                                        next_micro_state <= mul2;
3251
                                WHEN mul2       =>              -- mulu
3252
                                        setstate <="01";
3253
                                        IF rot_cnt="00001" THEN
3254
                                                next_micro_state <= mul_end1;
3255
                                        ELSE
3256
                                                next_micro_state <= mul2;
3257
                                        END IF;
3258
                                WHEN mul_end1   =>              -- mulu
3259
                                        datatype <= "10";
3260
                                        set(opcMULU) <= '1';
3261
                                        IF opcode(15)='0' AND (MUL_Mode=1 OR MUL_Mode=2) THEN
3262
                                                dest_2ndHbits <= '1';
3263
--                                              source_2ndLbits <= '1';--???
3264
                                                set(write_lowlong) <= '1';
3265
                                                IF sndOPC(10)='1' THEN
3266
                                                        setstate <="01";
3267
                                                        next_micro_state <= mul_end2;
3268
                                                END IF;
3269
                                                set(Regwrena) <= '1';
3270
                                        END IF;
3271
                                        datatype <= "10";
3272
                                WHEN mul_end2   =>              -- divu
3273
                                        set(write_reminder) <= '1';
3274
                                        set(Regwrena) <= '1';
3275
                                        set(opcMULU) <= '1';
3276
 
3277
                                WHEN div1       =>              -- divu
3278
                                        setstate <="01";
3279
                                        next_micro_state <= div2;
3280
                                WHEN div2       =>              -- divu
3281
                                        IF (OP2out(31 downto 16)=x"0000" OR opcode(15)='1' OR DIV_Mode=0) AND OP2out(15 downto 0)=x"0000" THEN            --div zero
3282
                                                set_Z_error <= '1';
3283
                                        ELSE
3284
                                                next_micro_state <= div3;
3285
                                        END IF;
3286
                                        set(ld_rot_cnt) <= '1';
3287
                                        setstate <="01";
3288
                                WHEN div3       =>              -- divu
3289
                                        IF opcode(15)='1' OR DIV_Mode=0 THEN
3290
                                                set_rot_cnt <= "001101";
3291
                                        ELSE
3292
                                                set_rot_cnt <= "011101";
3293
                                        END IF;
3294
                                        setstate <="01";
3295
                                        next_micro_state <= div4;
3296
                                WHEN div4       =>              -- divu
3297
                                        setstate <="01";
3298
                                        IF rot_cnt="00001" THEN
3299
                                                next_micro_state <= div_end1;
3300
                                        ELSE
3301
                                                next_micro_state <= div4;
3302
                                        END IF;
3303
                                WHEN div_end1   =>              -- divu
3304
                                        IF opcode(15)='0' AND (DIV_Mode=1 OR DIV_Mode=2) THEN
3305
                                                set(write_reminder) <= '1';
3306
                                                next_micro_state <= div_end2;
3307
                                                setstate <="01";
3308
                                        END IF;
3309
                                        set(opcDIVU) <= '1';
3310
                                        datatype <= "10";
3311
                                WHEN div_end2   =>              -- divu
3312
                                        dest_2ndHbits <= '1';
3313
                                        source_2ndLbits <= '1';--???
3314
                                        set(opcDIVU) <= '1';
3315
 
3316
                                WHEN rota1      =>
3317
                                        IF OP2out(5 downto 0)/="000000" THEN
3318
                                                set_rot_cnt <= OP2out(5 downto 0);
3319
                                        ELSE
3320
                                                set_exec(rot_nop) <= '1';
3321
                                        END IF;
3322
 
3323
                                WHEN bf1 =>
3324
                                        setstate <="10";
3325
 
3326
                                WHEN OTHERS => NULL;
3327
                        END CASE;
3328
        END PROCESS;
3329
 
3330
-----------------------------------------------------------------------------
3331
-- MOVEC
3332
-----------------------------------------------------------------------------
3333
  process (clk, VBR, CACR, brief)
3334
  begin
3335
        -- all other hexa codes should give illegal isntruction exception
3336
        if rising_edge(clk) then
3337
          if Reset = '1' then
3338
                VBR <= (others => '0');
3339
                CACR <= (others => '0');
3340
          elsif clkena_lw = '1' and exec(movec_wr) = '1' then
3341
                case brief(11 downto 0) is
3342
                  when X"000" => NULL; -- SFC -- 68010+
3343
                  when X"001" => NULL; -- DFC -- 68010+
3344
                  when X"002" => CACR <= reg_QA(3 downto 0); -- 68020+
3345
                  when X"800" => NULL; -- USP -- 68010+
3346
                  when X"801" => VBR <= reg_QA; -- 68010+
3347
                  when X"802" => NULL; -- CAAR -- 68020+
3348
                  when X"803" => NULL; -- MSP -- 68020+
3349
                  when X"804" => NULL; -- isP -- 68020+
3350
                  when others => NULL;
3351
                end case;
3352
          end if;
3353
        end if;
3354
 
3355
        movec_data <= (others => '0');
3356
        case brief(11 downto 0) is
3357
          when X"002" => movec_data <= "0000000000000000000000000000" & (CACR AND "0011");
3358
 
3359
          when X"801" => --if VBR_Stackframe=1 or (cpu(0)='1' and VBR_Stackframe=2) then
3360
                movec_data <= VBR;
3361
                --end if;
3362
          when others => NULL;
3363
        end case;
3364
  end process;
3365
 
3366
  CACR_out <= CACR;
3367
  VBR_out <= VBR;
3368
-----------------------------------------------------------------------------
3369
-- Conditions
3370
-----------------------------------------------------------------------------
3371
PROCESS (exe_opcode, Flags)
3372
        BEGIN
3373
                CASE exe_opcode(11 downto 8) IS
3374
                        WHEN X"0" => exe_condition <= '1';
3375
                        WHEN X"1" => exe_condition <= '0';
3376
                        WHEN X"2" => exe_condition <=  NOT Flags(0) AND NOT Flags(2);
3377
                        WHEN X"3" => exe_condition <= Flags(0) OR Flags(2);
3378
                        WHEN X"4" => exe_condition <= NOT Flags(0);
3379
                        WHEN X"5" => exe_condition <= Flags(0);
3380
                        WHEN X"6" => exe_condition <= NOT Flags(2);
3381
                        WHEN X"7" => exe_condition <= Flags(2);
3382
                        WHEN X"8" => exe_condition <= NOT Flags(1);
3383
                        WHEN X"9" => exe_condition <= Flags(1);
3384
                        WHEN X"a" => exe_condition <= NOT Flags(3);
3385
                        WHEN X"b" => exe_condition <= Flags(3);
3386
                        WHEN X"c" => exe_condition <= (Flags(3) AND Flags(1)) OR (NOT Flags(3) AND NOT Flags(1));
3387
                        WHEN X"d" => exe_condition <= (Flags(3) AND NOT Flags(1)) OR (NOT Flags(3) AND Flags(1));
3388
                        WHEN X"e" => exe_condition <= (Flags(3) AND Flags(1) AND NOT Flags(2)) OR (NOT Flags(3) AND NOT Flags(1) AND NOT Flags(2));
3389
                        WHEN X"f" => exe_condition <= (Flags(3) AND NOT Flags(1)) OR (NOT Flags(3) AND Flags(1)) OR Flags(2);
3390
                        WHEN OTHERS => NULL;
3391
                END CASE;
3392
        END PROCESS;
3393
 
3394
-----------------------------------------------------------------------------
3395
-- Movem
3396
-----------------------------------------------------------------------------
3397
PROCESS (clk)
3398
        BEGIN
3399
                IF rising_edge(clk) THEN
3400
                        IF clkena_lw='1' THEN
3401
                                movem_actiond <= exec(movem_action);
3402
                                IF decodeOPC='1' THEN
3403
                                        sndOPC <= data_read(15 downto 0);
3404
                                ELSIF exec(movem_action)='1' OR set(movem_action) ='1' THEN
3405
                                        CASE movem_regaddr IS
3406
                                                WHEN "0000" => sndOPC(0)  <= '0';
3407
                                                WHEN "0001" => sndOPC(1)  <= '0';
3408
                                                WHEN "0010" => sndOPC(2)  <= '0';
3409
                                                WHEN "0011" => sndOPC(3)  <= '0';
3410
                                                WHEN "0100" => sndOPC(4)  <= '0';
3411
                                                WHEN "0101" => sndOPC(5)  <= '0';
3412
                                                WHEN "0110" => sndOPC(6)  <= '0';
3413
                                                WHEN "0111" => sndOPC(7)  <= '0';
3414
                                                WHEN "1000" => sndOPC(8)  <= '0';
3415
                                                WHEN "1001" => sndOPC(9)  <= '0';
3416
                                                WHEN "1010" => sndOPC(10) <= '0';
3417
                                                WHEN "1011" => sndOPC(11) <= '0';
3418
                                                WHEN "1100" => sndOPC(12) <= '0';
3419
                                                WHEN "1101" => sndOPC(13) <= '0';
3420
                                                WHEN "1110" => sndOPC(14) <= '0';
3421
                                                WHEN "1111" => sndOPC(15) <= '0';
3422
                                                WHEN OTHERS => NULL;
3423
                                        END CASE;
3424
                                END IF;
3425
                        END IF;
3426
                END IF;
3427
        END PROCESS;
3428
 
3429
PROCESS (sndOPC, movem_mux)
3430
        BEGIN
3431
                movem_regaddr <="0000";
3432
                movem_run <= '1';
3433
                IF sndOPC(3 downto 0)="0000" THEN
3434
                        IF sndOPC(7 downto 4)="0000" THEN
3435
                                movem_regaddr(3) <= '1';
3436
                                IF sndOPC(11 downto 8)="0000" THEN
3437
                                        IF sndOPC(15 downto 12)="0000" THEN
3438
                                                movem_run <= '0';
3439
                                        END IF;
3440
                                        movem_regaddr(2) <= '1';
3441
                                        movem_mux <= sndOPC(15 downto 12);
3442
                                ELSE
3443
                                        movem_mux <= sndOPC(11 downto 8);
3444
                                END IF;
3445
                        ELSE
3446
                                movem_mux <= sndOPC(7 downto 4);
3447
                                movem_regaddr(2) <= '1';
3448
                        END IF;
3449
                ELSE
3450
                        movem_mux <= sndOPC(3 downto 0);
3451
                END IF;
3452
                IF movem_mux(1 downto 0)="00" THEN
3453
                        movem_regaddr(1) <= '1';
3454
                        IF movem_mux(2)='0' THEN
3455
                                movem_regaddr(0) <= '1';
3456
                        END IF;
3457
                ELSE
3458
                        IF movem_mux(0)='0' THEN
3459
                                movem_regaddr(0) <= '1';
3460
                        END IF;
3461
                END  IF;
3462
        END PROCESS;
3463
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.