OpenCores
URL https://opencores.org/ocsvn/tg68kc/tg68kc/trunk

Subversion Repositories tg68kc

[/] [tg68kc/] [trunk/] [TG68KdotC_Kernel.vhd] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobiflex
------------------------------------------------------------------------------
2
------------------------------------------------------------------------------
3
--                                                                          --
4 4 tobiflex
-- Copyright (c) 2009-2019 Tobias Gubener                                   -- 
5
-- Patches by MikeJ, Till Harbaum, Rok Krajnk, ...                          --
6 2 tobiflex
-- Subdesign fAMpIGA by TobiFlex                                            --
7
--                                                                          --
8
-- This source file is free software: you can redistribute it and/or modify --
9
-- it under the terms of the GNU Lesser General Public License as published --
10
-- by the Free Software Foundation, either version 3 of the License, or     --
11
-- (at your option) any later version.                                      --
12
--                                                                          --
13
-- This source file is distributed in the hope that it will be useful,      --
14
-- but WITHOUT ANY WARRANTY; without even the implied warranty of           --
15
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            --
16
-- GNU General Public License for more details.                             --
17
--                                                                          --
18
-- You should have received a copy of the GNU General Public License        --
19
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.    --
20
--                                                                          --
21
------------------------------------------------------------------------------
22
------------------------------------------------------------------------------
23
 
24 7 tobiflex
-- 03.11.2019 TG rework barrel shifter - some other tweaks
25
-- 02.11.2019 TG bugfig N-Flag and Z-Flag for DIV
26 4 tobiflex
-- 30.10.2019 TG bugfix RTR in 68020-mode
27
-- 30.10.2019 TG bugfix BFINS again
28
-- 19.10.2019 TG insert some bugfixes from apolkosnik
29 2 tobiflex
-- 05.12.2018 TG insert RTD opcode
30
-- 03.12.2018 TG insert barrel shifter
31
-- 01.11.2017 TG bugfix V-Flag for ASL/ASR - thanks Peter Graf
32
-- 29.05.2017 TG decode 0x4AFB as illegal, needed for QL BKP - thanks Peter Graf
33
-- 21.05.2017 TG insert generic for hardware multiplier for MULU & MULS
34
-- 04.04.2017 TG change GPL to LGPL
35
-- 04.04.2017 TG BCD handling with all undefined behavior! 
36
-- 02.04.2017 TG bugfix Bitfield Opcodes 
37
-- 19.03.2017 TG insert PACK/UNPACK  
38
-- 19.03.2017 TG bugfix CMPI ...(PC) - thanks Till Harbaum
39
--     ???    MJ bugfix non_aligned movem access
40
-- add berr handling 10.03.2013 - needed for ATARI Core
41
 
42
-- bugfix session 07/08.Feb.2013
43
-- movem ,-(an)
44
-- movem (an)+,          - thanks  Gerhard Suttner
45
-- btst dn,#data         - thanks  Peter Graf
46
-- movep                 - thanks  Till Harbaum
47
-- IPL vector            - thanks  Till Harbaum
48
--  
49
 
50
-- optimize Register file
51
 
52
-- to do 68010:
53
-- (MOVEC)
54
-- BKPT
55
-- MOVES
56
--
57
-- to do 68020:
58
-- (CALLM)
59
-- (RETM)
60
 
61
-- CAS, CAS2
62
-- CHK2
63
-- CMP2
64
-- cpXXX Coprozessor stuff
65
-- TRAPcc
66
 
67
-- done 020:
68
-- PACK
69
-- UNPK
70
-- Bitfields
71
-- address modes
72
-- long bra
73
-- DIVS.L, DIVU.L
74
-- LINK long
75
-- MULS.L, MULU.L
76
-- extb.l
77
 
78
library ieee;
79
use ieee.std_logic_1164.all;
80
use ieee.std_logic_unsigned.all;
81
use work.TG68K_Pack.all;
82
 
83
entity TG68KdotC_Kernel is
84
        generic(
85 5 tobiflex
                SR_Read : integer:= 1;                          --0=>user,              1=>privileged,          2=>switchable with CPU(0)
86
                VBR_Stackframe : integer:= 1;           --0=>no,                        1=>yes/extended,        2=>switchable with CPU(0)
87
                extAddr_Mode : integer:= 1;             --0=>no,                        1=>yes,                         2=>switchable with CPU(1)
88
                MUL_Mode : integer := 1;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no MUL,  
89
                MUL_Hardware : integer := 1;            --0=>no,                        1=>yes,  
90
                DIV_Mode : integer := 1;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no DIV,  
91
                BarrelShifter : integer := 2;           --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
92
                BitField : integer := 1                         --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
93
--              SR_Read : integer:= 0;                          --0=>user,              1=>privileged,          2=>switchable with CPU(0)
94
--              VBR_Stackframe : integer:= 0;           --0=>no,                        1=>yes/extended,        2=>switchable with CPU(0)
95
--              extAddr_Mode : integer:= 0;             --0=>no,                        1=>yes,                         2=>switchable with CPU(1)
96
--              MUL_Mode : integer := 0;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no MUL,  
97
--              MUL_Hardware : integer := 1;            --0=>no,                        1=>yes,  
98
--              DIV_Mode : integer := 0;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no DIV,  
99
--              BarrelShifter : integer := 0;           --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
100
--              BitField : integer := 0                         --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
101 2 tobiflex
                );
102 5 tobiflex
        port(clk                                                : in std_logic;
103
                nReset                                  : in std_logic;                 --low active
104
                clkena_in                               : in std_logic:='1';
105
                data_in                                 : in std_logic_vector(15 downto 0);
106
                IPL                                             : in std_logic_vector(2 downto 0):="111";
107
                IPL_autovector                  : in std_logic:='0';
108
                berr                                            : in std_logic:='0';                                     -- only 68000 Stackpointer dummy
109
                CPU                                             : in std_logic_vector(1 downto 0):="00";  -- 00->68000  01->68010  11->68020(only some parts - yet)
110
                addr_out                                        : out std_logic_vector(31 downto 0);
111
                data_write                              : out std_logic_vector(15 downto 0);
112
                nWr                                             : out std_logic;
113
                nUDS                                            : out std_logic;
114
                nLDS                                            : out std_logic;
115
                busstate                                        : out std_logic_vector(1 downto 0);      -- 00-> fetch code 10->read data 11->write data 01->no memaccess
116
                nResetOut                               : out std_logic;
117
                FC                                                      : out std_logic_vector(2 downto 0);
118
                clr_berr                                        : out std_logic;
119
-- for debug
120
                skipFetch                               : out std_logic;
121
                regin_out                               : out std_logic_vector(31 downto 0);
122
                CACR_out                                        : out std_logic_vector( 3 downto 0);
123
                VBR_out                                 : out std_logic_vector(31 downto 0)
124 4 tobiflex
                );
125 2 tobiflex
end TG68KdotC_Kernel;
126
 
127
architecture logic of TG68KdotC_Kernel is
128
 
129
 
130 4 tobiflex
        signal syncReset                        : std_logic_vector(3 downto 0);
131
        signal Reset                            : std_logic;
132
        signal clkena_lw                        : std_logic;
133
        signal TG68_PC                          : std_logic_vector(31 downto 0);
134
        signal tmp_TG68_PC              : std_logic_vector(31 downto 0);
135
        signal TG68_PC_add              : std_logic_vector(31 downto 0);
136
        signal PC_dataa                 : std_logic_vector(31 downto 0);
137
        signal PC_datab                 : std_logic_vector(31 downto 0);
138
        signal memaddr                          : std_logic_vector(31 downto 0);
139
        signal state                            : std_logic_vector(1 downto 0);
140
        signal datatype                 : std_logic_vector(1 downto 0);
141
        signal set_datatype             : std_logic_vector(1 downto 0);
142
        signal exe_datatype             : std_logic_vector(1 downto 0);
143
        signal setstate                 : std_logic_vector(1 downto 0);
144 2 tobiflex
 
145 4 tobiflex
        signal opcode                           : std_logic_vector(15 downto 0);
146
        signal exe_opcode                       : std_logic_vector(15 downto 0);
147
        signal sndOPC                           : std_logic_vector(15 downto 0);
148 2 tobiflex
 
149 4 tobiflex
        signal last_opc_read            : std_logic_vector(15 downto 0);
150
        signal registerin                       : std_logic_vector(31 downto 0);
151
        signal reg_QA                           : std_logic_vector(31 downto 0);
152
        signal reg_QB                           : std_logic_vector(31 downto 0);
153
        signal Wwrena,Lwrena            : bit;
154
        signal Bwrena                           : bit;
155
        signal Regwrena_now             : bit;
156 2 tobiflex
        signal rf_dest_addr             : std_logic_vector(3 downto 0);
157
        signal rf_source_addr   : std_logic_vector(3 downto 0);
158
        signal rf_source_addrd  : std_logic_vector(3 downto 0);
159
 
160 4 tobiflex
        signal regin                            : std_logic_vector(31 downto 0);
161
        type   regfile_t is array(0 to 15) of std_logic_vector(31 downto 0);
162
        signal regfile                          : regfile_t := (OTHERS => (OTHERS => '0')); -- mikej stops sim X issues;
163
        signal RDindex_A                        : integer range 0 to 15;
164
        signal RDindex_B                        : integer range 0 to 15;
165
        signal WR_AReg                          : std_logic;
166 2 tobiflex
 
167
 
168 4 tobiflex
        signal addr                                     : std_logic_vector(31 downto 0);
169
        signal memaddr_reg              : std_logic_vector(31 downto 0);
170
        signal memaddr_delta            : std_logic_vector(31 downto 0);
171
        signal use_base                 : bit;
172 2 tobiflex
 
173 4 tobiflex
        signal ea_data                          : std_logic_vector(31 downto 0);
174
        signal OP1out                           : std_logic_vector(31 downto 0);
175
        signal OP2out                           : std_logic_vector(31 downto 0);
176
        signal OP1outbrief              : std_logic_vector(15 downto 0);
177
        signal OP1in                            : std_logic_vector(31 downto 0);
178
        signal ALUout   : std_logic_vector(31 downto 0);
179
        signal data_write_tmp   : std_logic_vector(31 downto 0);
180
        signal data_write_muxin : std_logic_vector(31 downto 0);
181
        signal data_write_mux   : std_logic_vector(47 downto 0);
182
        signal nextpass                 : bit;
183
        signal setnextpass              : bit;
184
        signal setdispbyte              : bit;
185
        signal setdisp                          : bit;
186
        signal regdirectsource  :bit;           -- checken !!!
187
        signal addsub_q                 : std_logic_vector(31 downto 0);
188
        signal briefdata                        : std_logic_vector(31 downto 0);
189
--      signal c_in                             : std_logic_vector(3 downto 0);
190
        signal c_out                            : std_logic_vector(2 downto 0);
191 2 tobiflex
 
192 4 tobiflex
        signal mem_address              : std_logic_vector(31 downto 0);
193
        signal memaddr_a                        : std_logic_vector(31 downto 0);
194 2 tobiflex
 
195 4 tobiflex
        signal TG68_PC_brw              : bit;
196
        signal TG68_PC_word             : bit;
197
        signal getbrief                 : bit;
198
        signal brief                            : std_logic_vector(15 downto 0);
199
        signal dest_areg                        : std_logic;
200
        signal source_areg              : std_logic;
201
        signal data_is_source   : bit;
202
        signal store_in_tmp             : bit;
203
        signal write_back                       : bit;
204
        signal exec_write_back  : bit;
205
        signal setstackaddr             : bit;
206
        signal writePC                          : bit;
207
        signal writePCbig                       : bit;
208
        signal set_writePCbig   : bit;
209
        signal setopcode                        : bit;
210
        signal decodeOPC                        : bit;
211
        signal execOPC                          : bit;
212
        signal setexecOPC                       : bit;
213
        signal endOPC                           : bit;
214
        signal setendOPC                        : bit;
215
        signal Flags                            : std_logic_vector(7 downto 0);  -- ...XNZVC
216
        signal FlagsSR                          : std_logic_vector(7 downto 0);  -- T.S.0III
217
        signal SRin                                     : std_logic_vector(7 downto 0);
218
        signal exec_DIRECT              : bit;
219
        signal exec_tas                 : std_logic;
220
        signal set_exec_tas             : std_logic;
221 2 tobiflex
 
222 4 tobiflex
        signal exe_condition            : std_logic;
223
        signal ea_only                          : bit;
224
        signal source_lowbits   : bit;
225
        signal source_2ndHbits  : bit;
226
        signal source_2ndLbits  : bit;
227
        signal dest_2ndHbits            : bit;
228
        signal dest_hbits                       : bit;
229
        signal rot_bits                 : std_logic_vector(1 downto 0);
230
        signal set_rot_bits             : std_logic_vector(1 downto 0);
231
        signal rot_cnt                          : std_logic_vector(5 downto 0);
232
        signal set_rot_cnt              : std_logic_vector(5 downto 0);
233
        signal movem_actiond            : bit;
234
        signal movem_regaddr            : std_logic_vector(3 downto 0);
235
        signal movem_mux                        : std_logic_vector(3 downto 0);
236
        signal movem_presub             : bit;
237
        signal movem_run                        : bit;
238
        signal ea_calc_b                        : std_logic_vector(31 downto 0);
239
        signal set_direct_data  : bit;
240
        signal use_direct_data  : bit;
241
        signal direct_data              : bit;
242 2 tobiflex
 
243 4 tobiflex
        signal set_V_Flag                       : bit;
244
        signal set_vectoraddr   : bit;
245
        signal writeSR                          : bit;
246
        signal trap_berr                        : bit;
247
        signal trap_illegal             : bit;
248
        signal trap_addr_error  : bit;
249
        signal trap_priv                        : bit;
250
        signal trap_trace                       : bit;
251
        signal trap_1010                        : bit;
252
        signal trap_1111                        : bit;
253
        signal trap_trap                        : bit;
254
        signal trap_trapv                       : bit;
255
        signal trap_interrupt   : bit;
256
        signal trapmake                 : bit;
257
        signal trapd                            : bit;
258
        signal trap_SR                          : std_logic_vector(7 downto 0);
259
        signal make_trace                       : std_logic;
260
        signal make_berr                        : std_logic;
261 2 tobiflex
 
262 4 tobiflex
        signal set_stop                 : bit;
263
        signal stop                                     : bit;
264
        signal trap_vector              : std_logic_vector(31 downto 0);
265
        signal trap_vector_vbr  : std_logic_vector(31 downto 0);
266
        signal USP                                      : std_logic_vector(31 downto 0);
267
--      signal illegal_write_mode       : bit;
268
--      signal illegal_read_mode        : bit;
269
--      signal illegal_byteaddr         : bit;
270 2 tobiflex
 
271 4 tobiflex
        signal IPL_nr                           : std_logic_vector(2 downto 0);
272
        signal rIPL_nr                          : std_logic_vector(2 downto 0);
273
        signal IPL_vec                          : std_logic_vector(7 downto 0);
274
        signal interrupt                        : bit;
275
        signal setinterrupt             : bit;
276
        signal SVmode                           : std_logic;
277
        signal preSVmode                        : std_logic;
278
        signal Suppress_Base            : bit;
279
        signal set_Suppress_Base: bit;
280
        signal set_Z_error              : bit;
281
        signal Z_error                  : bit;
282
        signal ea_build_now             : bit;
283
        signal build_logical            : bit;
284
        signal build_bcd                        : bit;
285 2 tobiflex
 
286 4 tobiflex
        signal data_read                        : std_logic_vector(31 downto 0);
287
        signal bf_ext_in                        : std_logic_vector(7 downto 0);
288
        signal bf_ext_out                       : std_logic_vector(7 downto 0);
289
--      signal byte                                     : bit;
290
        signal long_start                       : bit;
291 2 tobiflex
        signal long_start_alu   : bit;
292 4 tobiflex
        signal non_aligned              : std_logic;
293
        signal long_done                        : bit;
294
        signal memmask                          : std_logic_vector(5 downto 0);
295
        signal set_memmask              : std_logic_vector(5 downto 0);
296
        signal memread                          : std_logic_vector(3 downto 0);
297
        signal wbmemmask                        : std_logic_vector(5 downto 0);
298
        signal memmaskmux                       : std_logic_vector(5 downto 0);
299
        signal oddout                           : std_logic;
300
        signal set_oddout                       : std_logic;
301
        signal PCbase                           : std_logic;
302
        signal set_PCbase                       : std_logic;
303 2 tobiflex
 
304 4 tobiflex
        signal last_data_read   : std_logic_vector(31 downto 0);
305
        signal last_data_in             : std_logic_vector(31 downto 0);
306 2 tobiflex
 
307 4 tobiflex
        signal bf_offset                        : std_logic_vector(5 downto 0);
308
        signal bf_width                 : std_logic_vector(5 downto 0);
309
        signal bf_bhits                 : std_logic_vector(5 downto 0);
310
        signal bf_shift                 : std_logic_vector(5 downto 0);
311
        signal alu_width                        : std_logic_vector(5 downto 0);
312
        signal alu_bf_shift             : std_logic_vector(5 downto 0);
313
        signal bf_loffset                       : std_logic_vector(5 downto 0);
314
        signal bf_full_offset   : std_logic_vector(31 downto 0);
315
        signal alu_bf_ffo_offset: std_logic_vector(31 downto 0);
316
        signal alu_bf_loffset   : std_logic_vector(5 downto 0);
317 2 tobiflex
 
318 4 tobiflex
        signal movec_data                       : std_logic_vector(31 downto 0);
319
        signal VBR                                      : std_logic_vector(31 downto 0);
320
        signal CACR                                     : std_logic_vector(3 downto 0);
321
        signal DFC                                      : std_logic_vector(2 downto 0);
322
        signal SFC                                      : std_logic_vector(2 downto 0);
323 2 tobiflex
 
324
 
325 4 tobiflex
        signal set                                      : bit_vector(lastOpcBit downto 0);
326
        signal set_exec                 : bit_vector(lastOpcBit downto 0);
327
        signal exec                                     : bit_vector(lastOpcBit downto 0);
328 2 tobiflex
 
329
        signal micro_state              : micro_states;
330
        signal next_micro_state : micro_states;
331
 
332
 
333
 
334
BEGIN
335
 
336
ALU: TG68K_ALU
337
        generic map(
338 4 tobiflex
                MUL_Mode => MUL_Mode,                           --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),              3=>no MUL,
339
                MUL_Hardware => MUL_Hardware,           --0=>no,                1=>yes,
340
                DIV_Mode => DIV_Mode,                           --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),              3=>no DIV,
341
                BarrelShifter => BarrelShifter  --0=>no,                1=>yes,         2=>switchable with CPU(1)  
342 2 tobiflex
                )
343 5 tobiflex
        port map(
344
                clk => clk,                                                             --: in std_logic;
345
                Reset => Reset,                                         --: in std_logic;
346
                clkena_lw => clkena_lw,                         --: in std_logic:='1';
347
                execOPC => execOPC,                                     --: in bit;
348
                decodeOPC => decodeOPC,                         --: in bit;
349
                exe_condition => exe_condition, --: in std_logic;
350
                exec_tas => exec_tas,                           --: in std_logic;
351
                long_start => long_start_alu,           --: in bit;
352
                non_aligned => non_aligned,
353
                movem_presub => movem_presub,           --: in bit;
354
                set_stop => set_stop,                           --: in bit;
355
                Z_error => Z_error,                                     --: in bit;
356 2 tobiflex
 
357 5 tobiflex
                rot_bits => rot_bits,                           --: in std_logic_vector(1 downto 0);
358
                exec => exec,                                                   --: in bit_vector(lastOpcBit downto 0);
359
                OP1out => OP1out,                                               --: in std_logic_vector(31 downto 0);
360
                OP2out => OP2out,                                               --: in std_logic_vector(31 downto 0);
361
                reg_QA => reg_QA,                                               --: in std_logic_vector(31 downto 0);
362
                reg_QB => reg_QB,                                               --: in std_logic_vector(31 downto 0);
363
                opcode => opcode,                                               --: in std_logic_vector(15 downto 0);
364
                exe_opcode => exe_opcode,                       --: in std_logic_vector(15 downto 0);
365
                exe_datatype => exe_datatype,           --: in std_logic_vector(1 downto 0);
366
                sndOPC => sndOPC,                                               --: in std_logic_vector(15 downto 0);
367
                last_data_read => last_data_read(15 downto 0),   --: in std_logic_vector(31 downto 0);
368
                data_read => data_read(15 downto 0),                             --: in std_logic_vector(31 downto 0);
369
                FlagsSR => FlagsSR,                                     --: in std_logic_vector(7 downto 0);
370
                micro_state => micro_state,             --: in micro_states;  
371
                bf_ext_in => bf_ext_in,
372
                bf_ext_out => bf_ext_out,
373
                bf_shift => alu_bf_shift,
374
                bf_width => alu_width,
375
                bf_ffo_offset => alu_bf_ffo_offset,
376
                bf_loffset => alu_bf_loffset(4 downto 0),
377
 
378
                set_V_Flag => set_V_Flag,                       --: buffer bit;
379
                Flags => Flags,                                         --: buffer std_logic_vector(8 downto 0);
380
                c_out => c_out,                                         --: buffer std_logic_vector(2 downto 0);
381
                addsub_q => addsub_q,                           --: buffer std_logic_vector(31 downto 0);
382
                ALUout => ALUout                                                --: buffer std_logic_vector(31 downto 0)
383
        );
384
 
385
        long_start_alu <= to_bit(NOT memmaskmux(3));
386
 
387
        process (memmaskmux)
388
        begin
389
                non_aligned <= '0';
390
                if (memmaskmux(5 downto 4) = "01") or (memmaskmux(5 downto 4) = "10") then
391
                        non_aligned <= '1';
392
                end if;
393
        end process;
394 2 tobiflex
-----------------------------------------------------------------------------
395
-- Bus control
396
-----------------------------------------------------------------------------
397 4 tobiflex
   regin_out <= regin;
398
 
399
 
400 2 tobiflex
        nWr <= '0' WHEN state="11" ELSE '1';
401
        busstate <= state;
402
        nResetOut <= '0' WHEN exec(opcRESET)='1' ELSE '1';
403
 
404 5 tobiflex
        -- does shift for byte access. note active low me
405
        -- should produce address error on 68000
406
        memmaskmux <= memmask when addr(0) = '1' else memmask(4 downto 0) & '1';
407 2 tobiflex
        nUDS <= memmaskmux(5);
408
        nLDS <= memmaskmux(4);
409
        clkena_lw <= '1' WHEN clkena_in='1' AND memmaskmux(3)='1' ELSE '0';
410
        clr_berr <= '1' WHEN setopcode='1' AND trap_berr='1' ELSE '0';
411
 
412
        PROCESS (clk, nReset)
413
        BEGIN
414
                IF nReset='0' THEN
415
                        syncReset <= "0000";
416
                        Reset <= '1';
417
                ELSIF rising_edge(clk) THEN
418
                        IF clkena_in='1' THEN
419
                                syncReset <= syncReset(2 downto 0)&'1';
420
                                Reset <= NOT syncReset(3);
421
                        END IF;
422
                END IF;
423
        END PROCESS;
424
 
425
PROCESS (clk, long_done, last_data_in, data_in, addr, long_start, memmaskmux, memread, memmask, data_read)
426
        BEGIN
427
                IF memmaskmux(4)='0' THEN
428
                        data_read <= last_data_in(15 downto 0)&data_in;
429
                ELSE
430
                        data_read <= last_data_in(23 downto 0)&data_in(15 downto 8);
431
                END IF;
432
                IF memread(0)='1' OR (memread(1 downto 0)="10" AND memmaskmux(4)='1')THEN
433
                        data_read(31 downto 16) <= (OTHERS=>data_read(15));
434
                END IF;
435
 
436
                IF rising_edge(clk) THEN
437
                        IF clkena_lw='1' AND state="10" THEN
438
                                IF memmaskmux(4)='0' THEN
439
                                        bf_ext_in <= last_data_in(23 downto 16);
440
                                ELSE
441
                                        bf_ext_in <= last_data_in(31 downto 24);
442
                                END IF;
443
                        END IF;
444
                        IF Reset='1' THEN
445
                                last_data_read <= (OTHERS => '0');
446
                        ELSIF clkena_in='1' THEN
447
                                IF state="00" OR exec(update_ld)='1' THEN
448
                                        last_data_read <= data_read;
449
                                        IF state(1)='0' AND memmask(1)='0' THEN
450
                                                last_data_read(31 downto 16) <= last_opc_read;
451
                                        ELSIF state(1)='0' OR memread(1)='1' THEN
452
                                                last_data_read(31 downto 16) <= (OTHERS=>data_in(15));
453
                                        END IF;
454
                                END IF;
455
                                last_data_in <= last_data_in(15 downto 0)&data_in(15 downto 0);
456
 
457
                        END IF;
458
                END IF;
459
                                long_start <= to_bit(NOT memmask(1));
460
                                long_done <= to_bit(NOT memread(1));
461
        END PROCESS;
462
 
463
PROCESS (long_start, reg_QB, data_write_tmp, exec, data_read, data_write_mux, memmaskmux, bf_ext_out,
464
                 data_write_muxin, memmask, oddout, addr)
465
        BEGIN
466
                IF exec(write_reg)='1' THEN
467
                        data_write_muxin <= reg_QB;
468
                ELSE
469
                        data_write_muxin <= data_write_tmp;
470
                END IF;
471
 
472
                IF BitField=0 THEN
473
                        IF oddout=addr(0) THEN
474
                                data_write_mux <= "--------"&"--------"&data_write_muxin;
475
                        ELSE
476
                                data_write_mux <= "--------"&data_write_muxin&"--------";
477
                        END IF;
478
                ELSE
479
                        IF oddout=addr(0) THEN
480
                                data_write_mux <= "--------"&bf_ext_out&data_write_muxin;
481
                        ELSE
482
                                data_write_mux <= bf_ext_out&data_write_muxin&"--------";
483
                        END IF;
484
                END IF;
485
 
486
                IF memmaskmux(1)='0' THEN
487
                        data_write <= data_write_mux(47 downto 32);
488
                ELSIF memmaskmux(3)='0' THEN
489
                        data_write <= data_write_mux(31 downto 16);
490
                ELSE
491
                        data_write <= data_write_mux(15 downto 0);
492
                END IF;
493
                IF exec(mem_byte)='1' THEN      --movep
494
                        data_write(7 downto 0) <= data_write_tmp(15 downto 8);
495
                END IF;
496
        END PROCESS;
497
 
498
-----------------------------------------------------------------------------
499
-- Registerfile
500
-----------------------------------------------------------------------------
501
PROCESS (clk, regfile, RDindex_A, RDindex_B, exec)
502
        BEGIN
503
                reg_QA <= regfile(RDindex_A);
504
                reg_QB <= regfile(RDindex_B);
505
                IF rising_edge(clk) THEN
506
                    IF clkena_lw='1' THEN
507
                                rf_source_addrd <= rf_source_addr;
508
                                WR_AReg <= rf_dest_addr(3);
509
                                RDindex_A <= conv_integer(rf_dest_addr(3 downto 0));
510
                                RDindex_B <= conv_integer(rf_source_addr(3 downto 0));
511
                                IF Wwrena='1' THEN
512
                                        regfile(RDindex_A) <= regin;
513
                                END IF;
514
 
515
                                IF exec(to_USP)='1' THEN
516
                                        USP <= reg_QA;
517
                                END IF;
518
                        END IF;
519
                END IF;
520
        END PROCESS;
521
 
522
-----------------------------------------------------------------------------
523
-- Write Reg
524
-----------------------------------------------------------------------------
525
PROCESS (OP1in, reg_QA, Regwrena_now, Bwrena, Lwrena, exe_datatype, WR_AReg, movem_actiond, exec, ALUout, memaddr, memaddr_a, ea_only, USP, movec_data)
526
        BEGIN
527
                regin <= ALUout;
528
                IF exec(save_memaddr)='1' THEN
529
                        regin <= memaddr;
530
                ELSIF exec(get_ea_now)='1' AND ea_only='1' THEN
531
                        regin <= memaddr_a;
532
                ELSIF exec(from_USP)='1' THEN
533
                        regin <= USP;
534
                ELSIF exec(movec_rd)='1' THEN
535
                        regin <= movec_data;
536
                END IF;
537
 
538
                IF Bwrena='1' THEN
539
                        regin(15 downto 8) <= reg_QA(15 downto 8);
540
                END IF;
541
                IF Lwrena='0' THEN
542
                        regin(31 downto 16) <= reg_QA(31 downto 16);
543
                END IF;
544
 
545
                Bwrena <= '0';
546
                Wwrena <= '0';
547
                Lwrena <= '0';
548
                IF exec(presub)='1' OR exec(postadd)='1' OR exec(changeMode)='1' THEN           -- -(An)+
549
                        Wwrena <= '1';
550
                        Lwrena <= '1';
551
                ELSIF Regwrena_now='1' THEN             --dbcc  
552
                        Wwrena <= '1';
553
                ELSIF exec(Regwrena)='1' THEN           --read (mem)
554
                        Wwrena <= '1';
555
                        CASE exe_datatype IS
556
                                WHEN "00" =>            --BYTE
557
                                        Bwrena <= '1';
558
                                WHEN "01" =>            --WORD
559
                                        IF WR_AReg='1' OR movem_actiond='1' THEN
560
                                                Lwrena <='1';
561
                                        END IF;
562
                                WHEN OTHERS =>          --LONG
563
                                        Lwrena <= '1';
564
                        END CASE;
565
                END IF;
566
        END PROCESS;
567
 
568
-----------------------------------------------------------------------------
569
-- set dest regaddr
570
-----------------------------------------------------------------------------
571
PROCESS (opcode, rf_source_addrd, brief, setstackaddr, dest_hbits, dest_areg, data_is_source, sndOPC, exec, set, dest_2ndHbits)
572
        BEGIN
573
                IF exec(movem_action) ='1' THEN
574
                        rf_dest_addr <= rf_source_addrd;
575
                ELSIF set(briefext)='1' THEN
576
                        rf_dest_addr <= brief(15 downto 12);
577 4 tobiflex
                ELSIF set(get_bfoffset)='1' THEN
578 5 tobiflex
--                      IF opcode(15 downto 12)="1110" THEN
579 4 tobiflex
                                rf_dest_addr <= '0'&sndOPC(8 downto 6);
580 5 tobiflex
--                      ELSE
581
--                              rf_dest_addr <= sndOPC(9 downto 6);
582
--                      END IF;
583 2 tobiflex
                ELSIF dest_2ndHbits='1' THEN
584 4 tobiflex
                        rf_dest_addr <= '0'&sndOPC(14 downto 12);
585 2 tobiflex
                ELSIF set(write_reminder)='1' THEN
586 4 tobiflex
                        rf_dest_addr <= '0'&sndOPC(2 downto 0);
587 2 tobiflex
                ELSIF setstackaddr='1' THEN
588
                        rf_dest_addr <= "1111";
589
                ELSIF dest_hbits='1' THEN
590
                        rf_dest_addr <= dest_areg&opcode(11 downto 9);
591
                ELSE
592
                        IF opcode(5 downto 3)="000" OR data_is_source='1' THEN
593
                                rf_dest_addr <= dest_areg&opcode(2 downto 0);
594
                        ELSE
595
                                rf_dest_addr <= '1'&opcode(2 downto 0);
596
                        END IF;
597
                END IF;
598
        END PROCESS;
599
 
600
-----------------------------------------------------------------------------
601
-- set source regaddr
602
-----------------------------------------------------------------------------
603
PROCESS (opcode, movem_presub, movem_regaddr, source_lowbits, source_areg, sndOPC, exec, set, source_2ndLbits, source_2ndHbits)
604
        BEGIN
605
                IF exec(movem_action)='1' OR set(movem_action) ='1' THEN
606
                        IF movem_presub='1' THEN
607
                                rf_source_addr <= movem_regaddr XOR "1111";
608
                        ELSE
609
                                rf_source_addr <= movem_regaddr;
610
                        END IF;
611
                ELSIF source_2ndLbits='1' THEN
612 4 tobiflex
                        rf_source_addr <= '0'&sndOPC(2 downto 0);
613 2 tobiflex
                ELSIF source_2ndHbits='1' THEN
614 4 tobiflex
                        rf_source_addr <= '0'&sndOPC(14 downto 12);
615 2 tobiflex
                ELSIF source_lowbits='1' THEN
616
                        rf_source_addr <= source_areg&opcode(2 downto 0);
617
                ELSIF exec(linksp)='1' THEN
618
                        rf_source_addr <= "1111";
619
                ELSE
620
                        rf_source_addr <= source_areg&opcode(11 downto 9);
621
                END IF;
622
        END PROCESS;
623
 
624
-----------------------------------------------------------------------------
625
-- set OP1out
626
-----------------------------------------------------------------------------
627
PROCESS (reg_QA, store_in_tmp, ea_data, long_start, addr, exec, memmaskmux)
628
        BEGIN
629
                OP1out <= reg_QA;
630
                IF exec(OP1out_zero)='1' THEN
631
                        OP1out <= (OTHERS => '0');
632
                ELSIF exec(ea_data_OP1)='1' AND store_in_tmp='1' THEN
633
                        OP1out <= ea_data;
634
                ELSIF exec(movem_action)='1' OR memmaskmux(3)='0' OR exec(OP1addr)='1' THEN
635
                        OP1out <= addr;
636
                END IF;
637
        END PROCESS;
638
 
639
-----------------------------------------------------------------------------
640
-- set OP2out
641
-----------------------------------------------------------------------------
642
PROCESS (OP2out, reg_QB, exe_opcode, exe_datatype, execOPC, exec, use_direct_data,
643
             store_in_tmp, data_write_tmp, ea_data)
644
        BEGIN
645
                OP2out(15 downto 0) <= reg_QB(15 downto 0);
646
                OP2out(31 downto 16) <= (OTHERS => OP2out(15));
647
                IF exec(OP2out_one)='1' THEN
648
                        OP2out(15 downto 0) <= "1111111111111111";
649
                ELSIF exec(opcEXT)='1' THEN
650
                        IF exe_opcode(6)='0' OR exe_opcode(8)='1' THEN   --ext.w
651
                                OP2out(15 downto 8) <= (OTHERS => OP2out(7));
652
                        END IF;
653
                ELSIF use_direct_data='1' OR (exec(exg)='1' AND execOPC='1') OR exec(get_bfoffset)='1' THEN
654
                        OP2out <= data_write_tmp;
655
                ELSIF (exec(ea_data_OP1)='0' AND store_in_tmp='1') OR exec(ea_data_OP2)='1' THEN
656
                        OP2out <= ea_data;
657
                ELSIF exec(opcMOVEQ)='1' THEN
658
                        OP2out(7 downto 0) <= exe_opcode(7 downto 0);
659
                        OP2out(15 downto 8) <= (OTHERS => exe_opcode(7));
660
                ELSIF exec(opcADDQ)='1' THEN
661
                        OP2out(2 downto 0) <= exe_opcode(11 downto 9);
662
                        IF exe_opcode(11 downto 9)="000" THEN
663
                                OP2out(3) <='1';
664
                        ELSE
665
                                OP2out(3) <='0';
666
                        END IF;
667
                        OP2out(15 downto 4) <= (OTHERS => '0');
668
                ELSIF exe_datatype="10" THEN
669
                        OP2out(31 downto 16) <= reg_QB(31 downto 16);
670
                END IF;
671
        END PROCESS;
672
 
673
 
674
-----------------------------------------------------------------------------
675
-- handle EA_data, data_write
676
-----------------------------------------------------------------------------
677
PROCESS (clk)
678
        BEGIN
679
        IF rising_edge(clk) THEN
680
                        IF Reset = '1' THEN
681
                                store_in_tmp <='0';
682
                                exec_write_back <= '0';
683
                                direct_data <= '0';
684
                                use_direct_data <= '0';
685
                                Z_error <= '0';
686
                        ELSIF clkena_lw='1' THEN
687
                                direct_data <= '0';
688
                                IF state="11" THEN
689
                                        exec_write_back <= '0';
690
                                ELSIF setstate="10" AND write_back='1' THEN
691
                                        exec_write_back <= '1';
692
                                END IF;
693
 
694
 
695
                                IF set_direct_data='1' THEN
696
                                        direct_data <= '1';
697
                                        use_direct_data <= '1';
698
                                ELSIF endOPC='1' THEN
699
                                        use_direct_data <= '0';
700
                                END IF;
701
                                exec_DIRECT <= set_exec(opcMOVE);
702
 
703
                                IF endOPC='1' THEN
704
                                        store_in_tmp <='0';
705
                                        Z_error <= '0';
706
                                ELSE
707
                                        IF set_Z_error='1'  THEN
708
                                                Z_error <= '1';
709
                                        END IF;
710
                                        IF set_exec(opcMOVE)='1' AND state="11" THEN
711
                                                use_direct_data <= '1';
712
                                        END IF;
713
 
714
                                        IF state="10" OR exec(store_ea_packdata)='1' THEN
715
                                                store_in_tmp <= '1';
716
                                        END IF;
717
                                        IF direct_data='1' AND state="00" THEN
718
                                                store_in_tmp <= '1';
719
                                        END IF;
720
                                END IF;
721
 
722
                                IF state="10" AND exec(hold_ea_data)='0' THEN
723
                                        ea_data <= data_read;
724
                                ELSIF exec(get_2ndOPC)='1' THEN
725
                                        ea_data <= addr;
726
                                ELSIF exec(store_ea_data)='1' OR (direct_data='1' AND state="00") THEN
727
                                        ea_data <= last_data_read;
728
                                END IF;
729
 
730
                                IF writePC='1' THEN
731
                                        data_write_tmp <= TG68_PC;
732
                                ELSIF exec(writePC_add)='1' THEN
733
                                        data_write_tmp <= TG68_PC_add;
734
                                ELSIF micro_state=trap0 THEN
735
                                        data_write_tmp(15 downto 0) <= trap_vector(15 downto 0);
736
                                ELSIF exec(hold_dwr)='1' THEN
737
                                        data_write_tmp <= data_write_tmp;
738
                                ELSIF exec(exg)='1' THEN
739
                                        data_write_tmp <= OP1out;
740
                                ELSIF exec(get_ea_now)='1' AND ea_only='1' THEN         -- ist for pea
741
                                        data_write_tmp <= addr;
742
                                ELSIF execOPC='1' THEN
743
                                        data_write_tmp <= ALUout;
744
                                ELSIF (exec_DIRECT='1' AND state="10") THEN
745
                                        data_write_tmp <= data_read;
746
                                        IF  exec(movepl)='1' THEN
747
                                                data_write_tmp(31 downto 8) <= data_write_tmp(23 downto 0);
748
                                        END IF;
749
                                ELSIF exec(movepl)='1' THEN
750
                                        data_write_tmp(15 downto 0) <= reg_QB(31 downto 16);
751
                                ELSIF direct_data='1' THEN
752
                                        data_write_tmp <= last_data_read;
753
                                ELSIF writeSR='1'THEN
754
                                        data_write_tmp(15 downto 0) <= trap_SR(7 downto 0)& Flags(7 downto 0);
755
                                ELSE
756
                                        data_write_tmp <= OP2out;
757
                                END IF;
758
                        END IF;
759
                END IF;
760
        END PROCESS;
761
 
762
-----------------------------------------------------------------------------
763
-- brief
764
-----------------------------------------------------------------------------
765
PROCESS (brief, OP1out, OP1outbrief, cpu)
766
        BEGIN
767
                IF brief(11)='1' THEN
768
                        OP1outbrief <= OP1out(31 downto 16);
769
                ELSE
770
                        OP1outbrief <= (OTHERS=>OP1out(15));
771
                END IF;
772
                briefdata <= OP1outbrief&OP1out(15 downto 0);
773
                IF extAddr_Mode=1 OR (cpu(1)='1' AND extAddr_Mode=2) THEN
774
                        CASE brief(10 downto 9) IS
775
                                WHEN "00" => briefdata <= OP1outbrief&OP1out(15 downto 0);
776
                                WHEN "01" => briefdata <= OP1outbrief(14 downto 0)&OP1out(15 downto 0)&'0';
777
                                WHEN "10" => briefdata <= OP1outbrief(13 downto 0)&OP1out(15 downto 0)&"00";
778
                                WHEN "11" => briefdata <= OP1outbrief(12 downto 0)&OP1out(15 downto 0)&"000";
779
                                WHEN OTHERS => NULL;
780
                        END CASE;
781
                END IF;
782
        END PROCESS;
783
 
784
-----------------------------------------------------------------------------
785
-- MEM_IO 
786
-----------------------------------------------------------------------------
787
PROCESS (clk, setdisp, memaddr_a, briefdata, memaddr_delta, setdispbyte, datatype, interrupt, rIPL_nr, IPL_vec,
788
         memaddr_reg, reg_QA, use_base, VBR, last_data_read, trap_vector, exec, set, cpu)
789
        BEGIN
790
 
791
                IF rising_edge(clk) THEN
792
                        IF clkena_lw='1' THEN
793
                                trap_vector(31 downto 10) <= (others => '0');
794
                                IF trap_berr='1' THEN
795
                                        trap_vector(9 downto 0) <= "00" & X"08";
796
                                END IF;
797
                                IF trap_addr_error='1' THEN
798
                                        trap_vector(9 downto 0) <= "00" & X"0C";
799
                                END IF;
800
                                IF trap_illegal='1' THEN
801
                                        trap_vector(9 downto 0) <= "00" & X"10";
802
                                END IF;
803
                                IF z_error='1' THEN
804
                                        trap_vector(9 downto 0) <= "00" & X"14";
805
                                END IF;
806
                                IF exec(trap_chk)='1' THEN
807
                                        trap_vector(9 downto 0) <= "00" & X"18";
808
                                END IF;
809
                                IF trap_trapv='1' THEN
810
                                        trap_vector(9 downto 0) <= "00" & X"1C";
811
                                END IF;
812
                                IF trap_priv='1' THEN
813
                                        trap_vector(9 downto 0) <= "00" & X"20";
814
                                END IF;
815
                                IF trap_trace='1' THEN
816
                                        trap_vector(9 downto 0) <= "00" & X"24";
817
                                END IF;
818
                                IF trap_1010='1' THEN
819
                                        trap_vector(9 downto 0) <= "00" & X"28";
820
                                END IF;
821
                                IF trap_1111='1' THEN
822
                                        trap_vector(9 downto 0) <= "00" & X"2C";
823
                                END IF;
824
                                IF trap_trap='1' THEN
825
                                        trap_vector(9 downto 0) <= "0010" & opcode(3 downto 0) & "00";
826
                                END IF;
827
                                IF trap_interrupt='1' or set_vectoraddr = '1' THEN
828
                                        trap_vector(9 downto 0) <= IPL_vec & "00";      --TH
829
                                END IF;
830
                        END IF;
831
                END IF;
832
                IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
833
                        trap_vector_vbr <= trap_vector;
834
                ELSE
835
                        trap_vector_vbr <= trap_vector+VBR;
836
                END IF;
837
 
838
                memaddr_a(4 downto 0) <= "00000";
839
                memaddr_a(7 downto 5) <= (OTHERS=>memaddr_a(4));
840
                memaddr_a(15 downto 8) <= (OTHERS=>memaddr_a(7));
841
                memaddr_a(31 downto 16) <= (OTHERS=>memaddr_a(15));
842
                IF setdisp='1' THEN
843
                        IF exec(briefext)='1' THEN
844
                                memaddr_a <= briefdata+memaddr_delta;
845
                        ELSIF setdispbyte='1' THEN
846
                                memaddr_a(7 downto 0) <= last_data_read(7 downto 0);
847
                        ELSE
848
                                memaddr_a <= last_data_read;
849
                        END IF;
850
                ELSIF set(presub)='1' THEN
851
                        IF set(longaktion)='1' THEN
852
                                memaddr_a(4 downto 0) <= "11100";
853
                        ELSIF datatype="00" AND set(use_SP)='0' THEN
854
                                memaddr_a(4 downto 0) <= "11111";
855
                        ELSE
856
                                memaddr_a(4 downto 0) <= "11110";
857
                        END IF;
858
                ELSIF interrupt='1' THEN
859
                        memaddr_a(4 downto 0) <= '1'&rIPL_nr&'0';
860
                END IF;
861
 
862
                IF rising_edge(clk) THEN
863
                        IF clkena_in='1' THEN
864
                                IF exec(get_2ndOPC)='1' OR (state="10" AND memread(0)='1') THEN
865
                                        tmp_TG68_PC <= addr;
866
                                END IF;
867
                                use_base <= '0';
868
                                IF memmaskmux(3)='0' OR exec(mem_addsub)='1' THEN
869
                                        memaddr_delta <= addsub_q;
870
                                ELSIF state="01" AND exec_write_back='1' THEN
871
                                        memaddr_delta <= tmp_TG68_PC;
872
                                ELSIF exec(direct_delta)='1' THEN
873
                                        memaddr_delta <= data_read;
874
                                ELSIF exec(ea_to_pc)='1' AND setstate="00" THEN
875
                                        memaddr_delta <= addr;
876
                                ELSIF set(addrlong)='1' THEN
877
                                        memaddr_delta <= last_data_read;
878
                                ELSIF setstate="00" THEN
879
                                        memaddr_delta <= TG68_PC_add;
880
                                ELSIF exec(dispouter)='1' THEN
881
                                        memaddr_delta <= ea_data+memaddr_a;
882
                                ELSIF set_vectoraddr='1' THEN
883
                                        memaddr_delta <= trap_vector_vbr;
884
                                ELSE
885
                                        memaddr_delta <= memaddr_a;
886
                                        IF interrupt='0' AND Suppress_Base='0' THEN
887
--                                      IF interrupt='0' AND Suppress_Base='0' AND setstate(1)='1' THEN
888
                                                use_base <= '1';
889
                                        END IF;
890
                                END IF;
891
 
892
                -- only used for movem address update
893
--                                      IF (long_done='0' AND state(1)='1') OR movem_presub='0' THEN
894
                                        if ((memread(0) = '1') and state(1) = '1') or movem_presub = '0' then -- fix for unaligned movem mikej
895
                                                memaddr <= addr;
896
                                        END IF;
897
                        END IF;
898
                END IF;
899
 
900
                -- if access done, and not aligned, don't increment
901
                addr <= memaddr_reg+memaddr_delta;
902 4 tobiflex
                addr_out <= memaddr_reg + memaddr_delta;
903
 
904 2 tobiflex
                IF use_base='0' THEN
905
                        memaddr_reg <= (others=>'0');
906
                ELSE
907
                        memaddr_reg <= reg_QA;
908
                END IF;
909
    END PROCESS;
910
 
911
-----------------------------------------------------------------------------
912
-- PC Calc + fetch opcode
913
-----------------------------------------------------------------------------
914
PROCESS (clk, IPL, setstate, state, exec_write_back, set_direct_data, next_micro_state, stop, make_trace, make_berr, IPL_nr, FlagsSR, set_rot_cnt, opcode, writePCbig, set_exec, exec,
915 4 tobiflex
        PC_dataa, PC_datab, setnextpass, last_data_read, TG68_PC_brw, TG68_PC_word, Z_error, trap_trap, trap_trapv, interrupt, tmp_TG68_PC, TG68_PC)
916 2 tobiflex
        BEGIN
917
 
918
                PC_dataa <= TG68_PC;
919
                IF TG68_PC_brw = '1' THEN
920
                        PC_dataa <= tmp_TG68_PC;
921
                END IF;
922
 
923
                PC_datab(2 downto 0) <= (others => '0');
924
                PC_datab(3) <= PC_datab(2);
925
                PC_datab(7 downto 4) <= (others => PC_datab(3));
926
                PC_datab(15 downto 8) <= (others => PC_datab(7));
927
                PC_datab(31 downto 16) <= (others => PC_datab(15));
928
                IF interrupt='1' THEN
929
                        PC_datab(2 downto 1) <= "11";
930
                END IF;
931
                IF exec(writePC_add) ='1' THEN
932
                        IF writePCbig='1' THEN
933
                                PC_datab(3) <= '1';
934
                                PC_datab(1) <= '1';
935
                        ELSE
936
                                PC_datab(2) <= '1';
937
                        END IF;
938
                        IF trap_trap='1' OR trap_trapv='1' OR exec(trap_chk)='1' OR Z_error='1' THEN
939
                                PC_datab(1) <= '1';
940
                        END IF;
941
                ELSIF state="00" THEN
942
                        PC_datab(1) <= '1';
943
                END IF;
944
                IF TG68_PC_brw = '1' THEN
945
                        IF TG68_PC_word='1' THEN
946
                                PC_datab <= last_data_read;
947
                        ELSE
948
                                PC_datab(7 downto 0) <= opcode(7 downto 0);
949
                        END IF;
950
                END IF;
951
 
952
                TG68_PC_add <= PC_dataa+PC_datab;
953
 
954
                setopcode <= '0';
955
                setendOPC <= '0';
956
                setinterrupt <= '0';
957
                IF setstate="00" AND next_micro_state=idle AND setnextpass='0' AND (exec_write_back='0' OR state="11") AND set_rot_cnt="000001" AND set_exec(opcCHK)='0'THEN
958
                        setendOPC <= '1';
959
                        IF FlagsSR(2 downto 0)<IPL_nr OR IPL_nr="111"  OR make_trace='1' OR make_berr='1' THEN
960
                                setinterrupt <= '1';
961
                        ELSIF stop='0' THEN
962
                                setopcode <= '1';
963
                        END IF;
964
                END IF;
965
                setexecOPC <= '0';
966
                IF setstate="00" AND next_micro_state=idle AND set_direct_data='0' AND (exec_write_back='0' OR state="10") THEN
967
                        setexecOPC <= '1';
968
                END IF;
969
 
970
                IPL_nr <= NOT IPL;
971
                IF rising_edge(clk) THEN
972 4 tobiflex
                        IF Reset = '1' THEN
973 2 tobiflex
                                state <= "01";
974
                                opcode <= X"2E79";                                      --move $0,a7
975
                                trap_interrupt <= '0';
976
                                interrupt <= '0';
977
                                last_opc_read  <= X"4EF9";                      --jmp nn.l
978
                                TG68_PC <= X"00000004";
979
                                decodeOPC <= '0';
980
                                endOPC <= '0';
981
                                TG68_PC_word <= '0';
982
                                execOPC <= '0';
983
                                stop <= '0';
984
                                rot_cnt <="000001";
985
--                              byte <= '0';
986
--                              IPL_nr <= "000";
987
                                trap_trace <= '0';
988
                                trap_berr <= '0';
989
                                writePCbig <= '0';
990
--                              recall_last <= '0';
991
                                Suppress_Base <= '0';
992
                                make_berr <= '0';
993
                                memmask <= "111111";
994
                        ELSE
995
--                              IPL_nr <= NOT IPL;
996
                                IF clkena_in='1' THEN
997
                                        memmask <= memmask(3 downto 0)&"11";
998
                                        memread <= memread(1 downto 0)&memmaskmux(5 downto 4);
999
--                                      IF wbmemmask(5 downto 4)="11" THEN      
1000
--                                              wbmemmask <= memmask;
1001
--                                      END IF;
1002
                                        IF exec(directPC)='1' THEN
1003
                                                TG68_PC <= data_read;
1004
                                        ELSIF exec(ea_to_pc)='1' THEN
1005
                                                TG68_PC <= addr;
1006
                                        ELSIF (state ="00" OR TG68_PC_brw = '1') AND stop='0'  THEN
1007
                                                TG68_PC <= TG68_PC_add;
1008
                                        END IF;
1009
                                END IF;
1010
                                IF clkena_lw='1' THEN
1011
                                        interrupt <= setinterrupt;
1012
                                        decodeOPC <= setopcode;
1013
                                        endOPC <= setendOPC;
1014
                                        execOPC <= setexecOPC;
1015
 
1016
                                        exe_datatype <= set_datatype;
1017
                                        exe_opcode <= opcode;
1018
 
1019
                                        if(trap_berr='0') then
1020
                                                make_berr <= (berr OR make_berr);
1021
                                        else
1022
                                                make_berr <= '0';
1023
                                        end if;
1024
 
1025
                                        stop <= set_stop OR (stop AND NOT setinterrupt);
1026
                                        IF setinterrupt='1' THEN
1027
                                                trap_interrupt <= '0';
1028
                                                trap_trace <= '0';
1029
--                                              TG68_PC_word <= '0';
1030
                                                make_berr <= '0';
1031
                                                trap_berr <= '0';
1032
                                                IF make_trace='1' THEN
1033
                                                        trap_trace <= '1';
1034
                                                ELSIF make_berr='1' THEN
1035
                                                        trap_berr <= '1';
1036
                                                ELSE
1037
                                                        rIPL_nr <= IPL_nr;
1038
                                                        IPL_vec <= "00011"&IPL_nr;            --        TH              
1039
                                                        trap_interrupt <= '1';
1040
                                                END IF;
1041
                                        END IF;
1042
                                        IF micro_state=trap0 AND IPL_autovector='0' THEN
1043
                                                IPL_vec <= last_data_read(7 downto 0);    --     TH
1044
                                        END IF;
1045
                                        IF state="00" THEN
1046
                                                last_opc_read <= data_read(15 downto 0);
1047
                                        END IF;
1048
                                        IF setopcode='1' THEN
1049
                                                trap_interrupt <= '0';
1050
                                                trap_trace <= '0';
1051
                                                TG68_PC_word <= '0';
1052
                                                trap_berr <= '0';
1053
                                        ELSIF opcode(7 downto 0)="00000000" OR opcode(7 downto 0)="11111111" OR data_is_source='1' THEN
1054
                                                TG68_PC_word <= '1';
1055
                                        END IF;
1056
 
1057
                                        IF exec(get_bfoffset)='1' THEN
1058
                                                alu_width <= bf_width;
1059
                                                alu_bf_shift <= bf_shift;
1060
                                                alu_bf_loffset <= bf_loffset;
1061
                                                alu_bf_ffo_offset <= bf_full_offset+bf_width+1;
1062
                                        END IF;
1063
                                        memread <= "1111";
1064
                                        FC(1) <= NOT setstate(1) OR (PCbase AND NOT setstate(0));
1065
                                        FC(0) <= setstate(1) AND (NOT PCbase OR setstate(0));
1066
                                        IF interrupt='1' THEN
1067
                                                FC(1 downto 0) <= "11";
1068
                                        END IF;
1069
                                        IF (state="10" AND write_back='1' AND setstate/="10") OR set_rot_cnt/="000001" OR (stop='1' AND interrupt='0') OR set_exec(opcCHK)='1' THEN
1070
                                                state <= "01";
1071
                                                memmask <= "111111";
1072
                                        ELSIF execOPC='1' AND exec_write_back='1' THEN
1073
                                                state <= "11";
1074
                                                FC(1 downto 0) <= "01";
1075
                                                memmask <= wbmemmask;
1076
                                        ELSE
1077
                                                state <= setstate;
1078
                                                IF setstate="01" THEN
1079
                                                        memmask <= "111111";
1080
                                                        wbmemmask <= "111111";
1081
                                                ELSIF exec(get_bfoffset)='1' THEN
1082
                                                        memmask <= set_memmask;
1083
                                                        wbmemmask <= set_memmask;
1084
                                                        oddout <= set_oddout;
1085
                                                ELSIF set(longaktion)='1' THEN
1086
                                                        memmask <= "100001";
1087
                                                        wbmemmask <= "100001";
1088
                                                        oddout <= '0';
1089
                                                ELSIF set_datatype="00" AND setstate(1)='1' THEN
1090
                                                        memmask <= "101111";
1091
                                                        wbmemmask <= "101111";
1092
                                                        IF set(mem_byte)='1' THEN
1093
                                                                oddout <= '0';
1094
                                                        ELSE
1095
                                                                oddout <= '1';
1096
                                                        END IF;
1097
                                                ELSE
1098
                                                        memmask <= "100111";
1099
                                                        wbmemmask <= "100111";
1100
                                                        oddout <= '0';
1101
                                                END IF;
1102
                                        END IF;
1103
 
1104
                                        IF decodeOPC='1' THEN
1105
                                                rot_bits <= set_rot_bits;
1106
                                                writePCbig <= '0';
1107
                                        ELSE
1108
                                                writePCbig <= set_writePCbig OR writePCbig;
1109
                                        END IF;
1110
                                        IF decodeOPC='1' OR exec(ld_rot_cnt)='1' OR rot_cnt/="000001" THEN
1111
                                                rot_cnt <= set_rot_cnt;
1112
                                        END IF;
1113
--                                      IF setstate(1)='1' AND set_datatype="00" THEN
1114
--                                              byte <= '1';
1115
--                                      END IF;
1116
 
1117
                                        IF set_Suppress_Base='1' THEN
1118
                                                Suppress_Base <= '1';
1119
                                        ELSIF setstate(1)='1' OR (ea_only='1' AND set(get_ea_now)='1') THEN
1120
                                                Suppress_Base <= '0';
1121
                                        END IF;
1122
                                        IF getbrief='1' THEN
1123
                                                IF state(1)='1' THEN
1124
                                                        brief <= last_opc_read(15 downto 0);
1125
                                                ELSE
1126
                                                        brief <= data_read(15 downto 0);
1127
                                                END IF;
1128
                                        END IF;
1129
 
1130
                                        IF setopcode='1' AND berr='0' THEN
1131
                                                IF state="00" THEN
1132
                                                        opcode <= data_read(15 downto 0);
1133
                                                ELSE
1134
                                                        opcode <= last_opc_read(15 downto 0);
1135
                                                END IF;
1136
                                                nextpass <= '0';
1137
                                        ELSIF setinterrupt='1' OR setopcode='1' THEN
1138
                                                opcode <= X"4E71";              --nop
1139
                                                nextpass <= '0';
1140
                                        ELSE
1141
--                                              IF setnextpass='1' OR (regdirectsource='1' AND state="00") THEN
1142
                                                IF setnextpass='1' OR regdirectsource='1' THEN
1143
                                                        nextpass <= '1';
1144
                                                END IF;
1145
                                        END IF;
1146
 
1147
                                        IF decodeOPC='1' OR interrupt='1' THEN
1148
                                                trap_SR <= FlagsSR;
1149
                                        END IF;
1150
                                END IF;
1151
                        END IF;
1152
                END IF;
1153
 
1154
                IF rising_edge(clk) THEN
1155 5 tobiflex
                        IF Reset = '1' THEN
1156 2 tobiflex
                                PCbase <= '1';
1157
                        ELSIF clkena_lw='1' THEN
1158
                                PCbase <= set_PCbase OR PCbase;
1159
                                IF setexecOPC='1' OR (state(1)='1' AND movem_run='0') THEN
1160
                                        PCbase <= '0';
1161
                                END IF;
1162
                        END IF;
1163
                        IF clkena_lw='1' THEN
1164
                                exec <= set;
1165
                                exec_tas <= '0';
1166
                                exec(subidx) <= set(presub) or set(subidx);
1167
                                IF setexecOPC='1' THEN
1168
                                        exec <= set_exec OR set;
1169
                                        exec_tas <= set_exec_tas;
1170
                                END IF;
1171
                                exec(get_2ndOPC) <= set(get_2ndOPC) OR setopcode;
1172
                        END IF;
1173
                END IF;
1174
        END PROCESS;
1175
 
1176
------------------------------------------------------------------------------
1177
--prepare Bitfield Parameters
1178
------------------------------------------------------------------------------          
1179
PROCESS (clk, Reset, sndOPC, reg_QA, reg_QB, bf_width, bf_offset, bf_bhits, opcode, setstate, bf_shift)
1180
        BEGIN
1181
                IF sndOPC(11)='1' THEN
1182
                        bf_offset <= '0'&reg_QA(4 downto 0);
1183
                ELSE
1184
                        bf_offset <= '0'&sndOPC(10 downto 6);
1185
                END IF;
1186
                IF sndOPC(11)='1' THEN
1187
                        bf_full_offset <= reg_QA;
1188
                ELSE
1189
                        bf_full_offset <= (others => '0');
1190
                        bf_full_offset(4 downto 0) <= sndOPC(10 downto 6);
1191
                END IF;
1192
 
1193
                bf_width(5) <= '0';
1194
                IF sndOPC(5)='1' THEN
1195
                        bf_width(4 downto 0) <= reg_QB(4 downto 0)-1;
1196
                ELSE
1197
                        bf_width(4 downto 0) <= sndOPC(4 downto 0)-1;
1198
                END IF;
1199
                bf_bhits <= bf_width+bf_offset;
1200
                set_oddout <= NOT bf_bhits(3);
1201
 
1202 4 tobiflex
 
1203
-- bf_loffset is used for the shifted_bitmask
1204 2 tobiflex
                IF opcode(10 downto 8)="111" THEN --INS
1205
                        bf_loffset <= 32-bf_shift;
1206
                ELSE
1207
                        bf_loffset <= bf_shift;
1208
                END IF;
1209
                bf_loffset(5) <= '0';
1210
 
1211
                IF opcode(4 downto 3)="00" THEN
1212
                        IF opcode(10 downto 8)="111" THEN --INS
1213
                                bf_shift <= bf_bhits+1;
1214
                        ELSE
1215
                                bf_shift <= 31-bf_bhits;
1216
                        END IF;
1217
                        bf_shift(5) <= '0';
1218
                ELSE
1219 4 tobiflex
                        IF opcode(10 downto 8)="111" THEN --INS
1220
                                bf_shift <= "011001"+("000"&bf_bhits(2 downto 0));
1221
                                bf_shift(5) <= '0';
1222 2 tobiflex
                        ELSE
1223
                                bf_shift <= "000"&("111"-bf_bhits(2 downto 0));
1224
                        END IF;
1225
                        bf_offset(4 downto 3) <= "00";
1226
                END IF;
1227 4 tobiflex
 
1228
                CASE bf_bhits(5 downto 3) IS
1229
                        WHEN "000" =>
1230
                                set_memmask <= "101111";
1231
                        WHEN "001" =>
1232 2 tobiflex
                                set_memmask <= "100111";
1233 4 tobiflex
                        WHEN "010" =>
1234
                                set_memmask <= "100011";
1235
                        WHEN "011" =>
1236
                                set_memmask <= "100001";
1237
                        WHEN OTHERS =>
1238
                                set_memmask <= "100000";
1239
                END CASE;
1240
                IF setstate="00" THEN
1241
                        set_memmask <= "100111";
1242
                END IF;
1243 2 tobiflex
        END PROCESS;
1244
 
1245
------------------------------------------------------------------------------
1246
--SR op
1247
------------------------------------------------------------------------------          
1248
PROCESS (clk, Reset, FlagsSR, last_data_read, OP2out, exec)
1249
        BEGIN
1250
                IF exec(andiSR)='1' THEN
1251
                        SRin <= FlagsSR AND last_data_read(15 downto 8);
1252
                ELSIF exec(eoriSR)='1' THEN
1253
                        SRin <= FlagsSR XOR last_data_read(15 downto 8);
1254
                ELSIF exec(oriSR)='1' THEN
1255
                        SRin <= FlagsSR OR last_data_read(15 downto 8);
1256
                ELSE
1257
                        SRin <= OP2out(15 downto 8);
1258
                END IF;
1259
 
1260
                IF rising_edge(clk) THEN
1261 4 tobiflex
                        IF Reset='1' THEN
1262 2 tobiflex
                                FC(2) <= '1';
1263
                                SVmode <= '1';
1264
                                preSVmode <= '1';
1265 7 tobiflex
                                FlagsSR <= "00100111";
1266 2 tobiflex
                                make_trace <= '0';
1267
                        ELSIF clkena_lw = '1' THEN
1268
                                IF setopcode='1' THEN
1269
                                        make_trace <= FlagsSR(7);
1270
                                        IF set(changeMode)='1' THEN
1271
                                                SVmode <= NOT SVmode;
1272
                                        ELSE
1273
                                                SVmode <= preSVmode;
1274
                                        END IF;
1275
                                END IF;
1276
                                IF set(changeMode)='1' THEN
1277
                                        preSVmode <= NOT preSVmode;
1278
                                        FlagsSR(5) <= NOT preSVmode;
1279
                                        FC(2) <= NOT preSVmode;
1280
                                END IF;
1281
                                IF micro_state=trap3 THEN
1282
                                        FlagsSR(7) <= '0';
1283
                                END IF;
1284
                                IF trap_trace='1' AND state="10" THEN
1285
                                        make_trace <= '0';
1286
                                END IF;
1287
                                IF exec(directSR)='1' OR set_stop='1' THEN
1288
                                        FlagsSR <= data_read(15 downto 8);
1289
                                END IF;
1290
                                IF interrupt='1' AND trap_interrupt='1' THEN
1291
                                        FlagsSR(2 downto 0) <=rIPL_nr;
1292
                                END IF;
1293
                                IF exec(to_SR)='1' THEN
1294
                                        FlagsSR(7 downto 0) <= SRin;     --SR
1295
                                        FC(2) <= SRin(5);
1296
                                ELSIF exec(update_FC)='1' THEN
1297
                                        FC(2) <= FlagsSR(5);
1298
                                END IF;
1299
                                IF interrupt='1' THEN
1300
                                        FC(2) <= '1';
1301 7 tobiflex
                                END IF;
1302
                                IF cpu(1)='0' THEN
1303
                                        FlagsSR(6) <= '0';
1304
                                END IF;
1305 4 tobiflex
                                FlagsSR(3) <= '0';
1306 2 tobiflex
                        END IF;
1307
                END IF;
1308
        END PROCESS;
1309
 
1310
-----------------------------------------------------------------------------
1311
-- decode opcode
1312
-----------------------------------------------------------------------------
1313
PROCESS (clk, cpu, OP1out, OP2out, opcode, exe_condition, nextpass, micro_state, decodeOPC, state, setexecOPC, Flags, FlagsSR, direct_data, build_logical,
1314
                 build_bcd, set_Z_error, trapd, movem_run, last_data_read, set, set_V_Flag, z_error, trap_trace, trap_interrupt,
1315
                 SVmode, preSVmode, stop, long_done, ea_only, setstate, execOPC, exec_write_back, exe_datatype,
1316
                 datatype, interrupt, c_out, trapmake, rot_cnt, brief, addr,
1317
                 long_start, set_datatype, sndOPC, set_exec, exec, ea_build_now, reg_QA, reg_QB, make_berr, trap_berr)
1318
        BEGIN
1319
                TG68_PC_brw <= '0';
1320
                setstate <= "00";
1321
                Regwrena_now <= '0';
1322
                movem_presub <= '0';
1323
                setnextpass <= '0';
1324
                regdirectsource <= '0';
1325
                setdisp <= '0';
1326
                setdispbyte <= '0';
1327
                getbrief <= '0';
1328
                dest_areg <= '0';
1329
                source_areg <= '0';
1330
                data_is_source <= '0';
1331
                write_back <= '0';
1332
                setstackaddr <= '0';
1333
                writePC <= '0';
1334
                ea_build_now <= '0';
1335
--              set_rot_bits <= "00";
1336
                set_rot_bits <= opcode(4 downto 3);
1337
                set_rot_cnt <= "000001";
1338
                dest_hbits <= '0';
1339
                source_lowbits <= '0';
1340
                source_2ndHbits <= '0';
1341
                source_2ndLbits <= '0';
1342
                dest_2ndHbits <= '0';
1343
                ea_only <= '0';
1344
                set_direct_data <= '0';
1345
                set_exec_tas <= '0';
1346
                trap_illegal <='0';
1347
                trap_addr_error <= '0';
1348
                trap_priv <='0';
1349
                trap_1010 <='0';
1350
                trap_1111 <='0';
1351
                trap_trap <='0';
1352
                trap_trapv <= '0';
1353
                trapmake <='0';
1354
                set_vectoraddr <='0';
1355
                writeSR <= '0';
1356
                set_stop <= '0';
1357
--              illegal_write_mode <= '0';
1358
--              illegal_read_mode <= '0';
1359
--              illegal_byteaddr <= '0';
1360
                set_Z_error <= '0';
1361
 
1362
                next_micro_state <= idle;
1363
                build_logical <= '0';
1364
                build_bcd <= '0';
1365
                skipFetch <= make_berr;
1366
                set_writePCbig <= '0';
1367
--              set_recall_last <= '0';
1368
                set_Suppress_Base <= '0';
1369
                set_PCbase <= '0';
1370
 
1371
                IF rot_cnt/="000001" THEN
1372
                        set_rot_cnt <= rot_cnt-1;
1373
                END IF;
1374
                set_datatype <= datatype;
1375
 
1376
                set <= (OTHERS=>'0');
1377
                set_exec <= (OTHERS=>'0');
1378
                set(update_ld) <= '0';
1379
--              odd_start <= '0';
1380
------------------------------------------------------------------------------
1381
--Sourcepass
1382
------------------------------------------------------------------------------          
1383
                CASE opcode(7 downto 6) IS
1384
                        WHEN "00" => datatype <= "00";          --Byte
1385
                        WHEN "01" => datatype <= "01";          --Word
1386
                        WHEN OTHERS => datatype <= "10";        --Long
1387
                END CASE;
1388
 
1389
                IF trapmake='1' AND trapd='0' THEN
1390
                        next_micro_state <= trap0;
1391
                        IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
1392
                                set(writePC_add) <= '1';
1393
--                              set_datatype <= "10";
1394
                        END IF;
1395
                        IF preSVmode='0' THEN
1396
                                set(changeMode) <= '1';
1397
                        END IF;
1398
                        setstate <= "01";
1399
                END IF;
1400
                IF interrupt='1' AND trap_berr='1' THEN
1401
                        next_micro_state <= trap0;
1402
                        IF preSVmode='0' THEN
1403
                                set(changeMode) <= '1';
1404
                        END IF;
1405
                        setstate <= "01";
1406
                END IF;
1407
                IF micro_state=int1 OR (interrupt='1' AND trap_trace='1') THEN
1408
                        next_micro_state <= trap0;
1409
--                      IF cpu(0)='0' THEN
1410
--                              set_datatype <= "10";
1411
--                      END IF;
1412
                        IF preSVmode='0' THEN
1413
                                set(changeMode) <= '1';
1414
                        END IF;
1415
                        setstate <= "01";
1416
                END IF;
1417
 
1418
                IF setexecOPC='1' AND FlagsSR(5)/=preSVmode THEN
1419
                        set(changeMode) <= '1';
1420
--                      setstate <= "01";
1421
--                      next_micro_state <= nop;
1422
                END IF;
1423
 
1424
                IF interrupt='1' AND trap_interrupt='1'THEN
1425
--                      skipFetch <= '1';
1426
                        next_micro_state <= int1;
1427
                        set(update_ld) <= '1';
1428
                        setstate <= "10";
1429
                END IF;
1430
 
1431
                IF set(changeMode)='1' THEN
1432
                        set(to_USP) <= '1';
1433
                        set(from_USP) <= '1';
1434
                        setstackaddr <='1';
1435
                END IF;
1436
 
1437
                IF ea_only='0' AND set(get_ea_now)='1' THEN
1438
                        setstate <= "10";
1439
--                      set_recall_last <= '1';
1440
--                      set(update_ld) <= '0';
1441
                END IF;
1442
 
1443
                IF setstate(1)='1' AND set_datatype(1)='1' THEN
1444
                        set(longaktion) <= '1';
1445
                END IF;
1446
 
1447
                IF (ea_build_now='1' AND decodeOPC='1') OR exec(ea_build)='1' THEN
1448
                        CASE opcode(5 downto 3) IS              --source
1449
                                WHEN "010"|"011"|"100" =>                                               -- -(An)+
1450
                                        set(get_ea_now) <='1';
1451
                                        setnextpass <= '1';
1452
                                        IF opcode(3)='1' THEN   --(An)+
1453
                                                set(postadd) <= '1';
1454
                                                IF opcode(2 downto 0)="111" THEN
1455
                                                        set(use_SP) <= '1';
1456
                                                END IF;
1457
                                        END IF;
1458
                                        IF opcode(5)='1' THEN   -- -(An)
1459
                                                set(presub) <= '1';
1460
                                                IF opcode(2 downto 0)="111" THEN
1461
                                                        set(use_SP) <= '1';
1462
                                                END IF;
1463
                                        END IF;
1464
                                WHEN "101" =>                           --(d16,An)
1465
                                        next_micro_state <= ld_dAn1;
1466
                                WHEN "110" =>                           --(d8,An,Xn)
1467
                                        next_micro_state <= ld_AnXn1;
1468
                                        getbrief <='1';
1469
                                WHEN "111" =>
1470
                                        CASE opcode(2 downto 0) IS
1471
                                                WHEN "000" =>                           --(xxxx).w
1472
                                                        next_micro_state <= ld_nn;
1473
                                                WHEN "001" =>                           --(xxxx).l
1474
                                                        set(longaktion) <= '1';
1475
                                                        next_micro_state <= ld_nn;
1476
                                                WHEN "010" =>                           --(d16,PC)
1477
                                                        next_micro_state <= ld_dAn1;
1478
                                                        set(dispouter) <= '1';
1479
                                                        set_Suppress_Base <= '1';
1480
                                                        set_PCbase <= '1';
1481
                                                WHEN "011" =>                           --(d8,PC,Xn)
1482
                                                        next_micro_state <= ld_AnXn1;
1483
                                                        getbrief <= '1';
1484
                                                        set(dispouter) <= '1';
1485
                                                        set_Suppress_Base <= '1';
1486
                                                        set_PCbase <= '1';
1487
                                                WHEN "100" =>                           --#data
1488
                                                        setnextpass <= '1';
1489
                                                        set_direct_data <= '1';
1490
                                                        IF datatype="10" THEN
1491
                                                                set(longaktion) <= '1';
1492
                                                        END IF;
1493
                                                WHEN OTHERS => NULL;
1494
                                        END CASE;
1495
                                WHEN OTHERS => NULL;
1496
                        END CASE;
1497
                END IF;
1498
------------------------------------------------------------------------------
1499
--prepere opcode
1500
------------------------------------------------------------------------------          
1501
                CASE opcode(15 downto 12) IS
1502
-- 0000 ----------------------------------------------------------------------------            
1503
                        WHEN "0000" =>
1504
                        IF opcode(8)='1' AND opcode(5 downto 3)="001" THEN --movep
1505
                                datatype <= "00";                               --Byte
1506
                                set(use_SP) <= '1';             --addr+2
1507
                                set(no_Flags) <='1';
1508
                                IF opcode(7)='0' THEN  --to register
1509
                                        set_exec(Regwrena) <= '1';
1510
                                        set_exec(opcMOVE) <= '1';
1511
                                        set(movepl) <= '1';
1512
                                END IF;
1513
                                IF decodeOPC='1' THEN
1514
                                        IF opcode(6)='1' THEN
1515
                                                set(movepl) <= '1';
1516
                                        END IF;
1517
                                        IF opcode(7)='0' THEN
1518
                                                set_direct_data <= '1';         -- to register
1519
                                        END IF;
1520
                                        next_micro_state <= movep1;
1521
                                END IF;
1522
                                IF setexecOPC='1' THEN
1523
                                        dest_hbits <='1';
1524
                                END IF;
1525
                        ELSE
1526
                                IF opcode(8)='1' OR opcode(11 downto 9)="100" THEN              --Bits
1527
                                        set_exec(opcBITS) <= '1';
1528
                                        set_exec(ea_data_OP1) <= '1';
1529
                                        IF opcode(7 downto 6)/="00" THEN
1530
                                                IF opcode(5 downto 4)="00" THEN
1531
                                                        set_exec(Regwrena) <= '1';
1532
                                                END IF;
1533
                                                write_back <= '1';
1534
                                        END IF;
1535
                                        IF opcode(5 downto 4)="00" THEN
1536
                                                datatype <= "10";                       --Long
1537
                                        ELSE
1538
                                                datatype <= "00";                       --Byte
1539
                                        END IF;
1540
                                        IF opcode(8)='0' THEN
1541
                                                IF decodeOPC='1' THEN
1542
                                                        next_micro_state <= nop;
1543
                                                        set(get_2ndOPC) <= '1';
1544
                                                        set(ea_build) <= '1';
1545
                                                END IF;
1546
                                        ELSE
1547
                                                ea_build_now <= '1';
1548
                                        END IF;
1549
                                ELSIF opcode(11 downto 9)="111" THEN            --MOVES not in 68000
1550
                                        trap_illegal <= '1';
1551
--                                      trap_addr_error <= '1';
1552
                                        trapmake <= '1';
1553
                                ELSE                                                            --andi, ...xxxi 
1554
                                        IF opcode(11 downto 9)="000" THEN       --ORI
1555
                                                set_exec(opcOR) <= '1';
1556
                                        END IF;
1557
                                        IF opcode(11 downto 9)="001" THEN       --ANDI
1558
                                                set_exec(opcAND) <= '1';
1559
                                        END IF;
1560
                                        IF opcode(11 downto 9)="010" OR opcode(11 downto 9)="011" THEN  --SUBI, ADDI
1561
                                                set_exec(opcADD) <= '1';
1562
                                        END IF;
1563
                                        IF opcode(11 downto 9)="101" THEN       --EORI
1564
                                                set_exec(opcEOR) <= '1';
1565
                                        END IF;
1566
                                        IF opcode(11 downto 9)="110" THEN       --CMPI
1567
                                                set_exec(opcCMP) <= '1';
1568
                                        END IF;
1569
                                        IF opcode(7)='0' AND opcode(5 downto 0)="111100" AND (set_exec(opcAND) OR set_exec(opcOR) OR set_exec(opcEOR))='1' THEN           --SR
1570
                                                IF decodeOPC='1' AND SVmode='0' AND opcode(6)='1' THEN  --SR
1571
                                                        trap_priv <= '1';
1572
                                                        trapmake <= '1';
1573
                                                ELSE
1574
                                                        set(no_Flags) <= '1';
1575
                                                        IF decodeOPC='1' THEN
1576
                                                                IF opcode(6)='1' THEN
1577
                                                                        set(to_SR) <= '1';
1578
                                                                END IF;
1579
                                                                set(to_CCR) <= '1';
1580
                                                                set(andiSR) <= set_exec(opcAND);
1581
                                                                set(eoriSR) <= set_exec(opcEOR);
1582
                                                                set(oriSR) <= set_exec(opcOR);
1583
                                                                setstate <= "01";
1584
                                                                next_micro_state <= nopnop;
1585
                                                        END IF;
1586
                                                END IF;
1587
                                        ELSE
1588
                                                IF decodeOPC='1' THEN
1589
                                                        next_micro_state <= andi;
1590
                                                        set(get_2ndOPC) <='1';
1591
                                                        set(ea_build) <= '1';
1592
                                                        set_direct_data <= '1';
1593
                                                        IF datatype="10" THEN
1594
                                                                set(longaktion) <= '1';
1595
                                                        END IF;
1596
                                                END IF;
1597
                                                IF opcode(5 downto 4)/="00" THEN
1598
                                                        set_exec(ea_data_OP1) <= '1';
1599
                                                END IF;
1600
                                                IF opcode(11 downto 9)/="110" THEN      --CMPI 
1601
                                                        IF opcode(5 downto 4)="00" THEN
1602
                                                                set_exec(Regwrena) <= '1';
1603
                                                        END IF;
1604
                                                        write_back <= '1';
1605
                                                END IF;
1606
                                                IF opcode(10 downto 9)="10" THEN        --CMPI, SUBI
1607
                                                        set(addsub) <= '1';
1608
                                                END IF;
1609
                                        END IF;
1610
                                END IF;
1611
                        END IF;
1612
 
1613
-- 0001, 0010, 0011 -----------------------------------------------------------------           
1614
                        WHEN "0001"|"0010"|"0011" =>                            --move.b, move.l, move.w
1615
                                set_exec(opcMOVE) <= '1';
1616
                                ea_build_now <= '1';
1617
                                IF opcode(8 downto 6)="001" THEN
1618
                                        set(no_Flags) <= '1';
1619
                                END IF;
1620
                                IF opcode(5 downto 4)="00" THEN --Dn, An
1621
                                        IF opcode(8 downto 7)="00" THEN
1622
                                                set_exec(Regwrena) <= '1';
1623
                                        END IF;
1624
                                END IF;
1625
                                CASE opcode(13 downto 12) IS
1626
                                        WHEN "01" => datatype <= "00";          --Byte
1627
                                        WHEN "10" => datatype <= "10";          --Long
1628
                                        WHEN OTHERS => datatype <= "01";        --Word
1629
                                END CASE;
1630
                                source_lowbits <= '1';                                  -- Dn=>  An=>
1631
                                IF opcode(3)='1' THEN
1632
                                        source_areg <= '1';
1633
                                END IF;
1634
 
1635
                                IF nextpass='1' OR opcode(5 downto 4)="00" THEN
1636
                                        dest_hbits <= '1';
1637
                                        IF opcode(8 downto 6)/="000" THEN
1638
                                                dest_areg <= '1';
1639
                                        END IF;
1640
                                END IF;
1641
--                              IF setstate="10" THEN
1642
--                                      set(update_ld) <= '0';
1643
--                              END IF;
1644
--
1645
                                IF micro_state=idle AND (nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1')) THEN
1646
                                        CASE opcode(8 downto 6) IS              --destination
1647
                                                WHEN "000"|"001" =>                                             --Dn,An
1648
                                                                set_exec(Regwrena) <= '1';
1649
                                                WHEN "010"|"011"|"100" =>                                       --destination -(an)+
1650
                                                        IF opcode(6)='1' THEN   --(An)+
1651
                                                                set(postadd) <= '1';
1652
                                                                IF opcode(11 downto 9)="111" THEN
1653
                                                                        set(use_SP) <= '1';
1654
                                                                END IF;
1655
                                                        END IF;
1656
                                                        IF opcode(8)='1' THEN   -- -(An)
1657
                                                                set(presub) <= '1';
1658
                                                                IF opcode(11 downto 9)="111" THEN
1659
                                                                        set(use_SP) <= '1';
1660
                                                                END IF;
1661
                                                        END IF;
1662
                                                        setstate <= "11";
1663
                                                        next_micro_state <= nop;
1664
                                                        IF nextpass='0' THEN
1665
                                                                set(write_reg) <= '1';
1666
                                                        END IF;
1667
                                                WHEN "101" =>                           --(d16,An)
1668
                                                        next_micro_state <= st_dAn1;
1669
--                                                      getbrief <= '1';
1670
                                                WHEN "110" =>                           --(d8,An,Xn)
1671
                                                        next_micro_state <= st_AnXn1;
1672
                                                        getbrief <= '1';
1673
                                                WHEN "111" =>
1674
                                                        CASE opcode(11 downto 9) IS
1675
                                                                WHEN "000" =>                           --(xxxx).w
1676
                                                                        next_micro_state <= st_nn;
1677
                                                                WHEN "001" =>                           --(xxxx).l
1678
                                                                        set(longaktion) <= '1';
1679
                                                                        next_micro_state <= st_nn;
1680
                                                                WHEN OTHERS => NULL;
1681
                                                        END CASE;
1682
                                                WHEN OTHERS => NULL;
1683
                                        END CASE;
1684
                                END IF;
1685
---- 0100 ----------------------------------------------------------------------------          
1686
                        WHEN "0100" =>                          --rts_group
1687
                                IF opcode(8)='1' THEN           --lea
1688
                                        IF opcode(6)='1' THEN           --lea
1689
                                                IF opcode(7)='1' THEN
1690
                                                        source_lowbits <= '1';
1691
--                                                      IF opcode(5 downto 3)="000" AND opcode(10)='0' THEN             --ext
1692
                                                        IF opcode(5 downto 4)="00" THEN         --extb.l
1693
                                                                set_exec(opcEXT) <= '1';
1694
                                                                set_exec(opcMOVE) <= '1';
1695
                                                                set_exec(Regwrena) <= '1';
1696
--                                                              IF opcode(6)='0' THEN
1697
--                                                                      datatype <= "01";               --WORD
1698
--                                                              END IF;
1699
                                                        ELSE
1700
                                                                source_areg <= '1';
1701
                                                                ea_only <= '1';
1702
                                                                set_exec(Regwrena) <= '1';
1703
                                                                set_exec(opcMOVE) <='1';
1704
                                                                set(no_Flags) <='1';
1705
                                                                IF opcode(5 downto 3)="010" THEN        --lea (Am),An
1706
                                                                        dest_areg <= '1';
1707
                                                                        dest_hbits <= '1';
1708
                                                                ELSE
1709
                                                                        ea_build_now <= '1';
1710
                                                                END IF;
1711
                                                                IF set(get_ea_now)='1' THEN
1712
                                                                        setstate <= "01";
1713
                                                                        set_direct_data <= '1';
1714
                                                                END IF;
1715
                                                                IF setexecOPC='1' THEN
1716
                                                                        dest_areg <= '1';
1717
                                                                        dest_hbits <= '1';
1718
                                                                END IF;
1719
                                                        END IF;
1720
                                                ELSE
1721
                                                        trap_illegal <= '1';
1722
                                                        trapmake <= '1';
1723
                                                END IF;
1724
                                        ELSE                                                            --chk
1725
                                                IF opcode(7)='1' THEN
1726
                                                        datatype <= "01";       --Word
1727
                                                                set(trap_chk) <= '1';
1728
                                                        IF (c_out(1)='0' OR OP1out(15)='1' OR OP2out(15)='1') AND exec(opcCHK)='1' THEN
1729
                                                                trapmake <= '1';
1730
                                                        END IF;
1731
                                                ELSIF cpu(1)='1' THEN   --chk long for 68020
1732
                                                        datatype <= "10";       --Long
1733
                                                                set(trap_chk) <= '1';
1734
                                                        IF (c_out(2)='1' OR OP1out(31)='1' OR OP2out(31)='1') AND exec(opcCHK)='1' THEN
1735
                                                                trapmake <= '1';
1736
                                                        END IF;
1737
                                                ELSE
1738
                                                        trap_illegal <= '1';            -- chk long for 68020
1739
                                                        trapmake <= '1';
1740
                                                END IF;
1741
                                                IF opcode(7)='1' OR cpu(1)='1' THEN
1742
                                                        IF (nextpass='1' OR opcode(5 downto 4)="00") AND exec(opcCHK)='0' AND micro_state=idle THEN
1743
                                                                set_exec(opcCHK) <= '1';
1744
                                                        END IF;
1745
                                                        ea_build_now <= '1';
1746
                                                        set(addsub) <= '1';
1747
                                                        IF setexecOPC='1' THEN
1748
                                                                dest_hbits <= '1';
1749
                                                                source_lowbits <='1';
1750
                                                        END IF;
1751
                                                END IF;
1752
                                        END IF;
1753
                                ELSE
1754
                                        CASE opcode(11 downto 9) IS
1755
                                                WHEN "000"=>
1756
                                                        IF opcode(7 downto 6)="11" THEN                                 --move from SR
1757
                                                                IF SR_Read=0 OR (cpu(0)='0' AND SR_Read=2) OR SVmode='1'  THEN
1758
                                                                        ea_build_now <= '1';
1759
                                                                        set_exec(opcMOVESR) <= '1';
1760
                                                                        datatype <= "01";
1761
                                                                        write_back <='1';                                                       -- im 68000 wird auch erst gelesen
1762
                                                                        IF cpu(0)='1' AND state="10" THEN
1763
                                                                                skipFetch <= '1';
1764
                                                                        END IF;
1765
                                                                        IF opcode(5 downto 4)="00" THEN
1766
                                                                                set_exec(Regwrena) <= '1';
1767
                                                                        END IF;
1768
                                                                ELSE
1769
                                                                        trap_priv <= '1';
1770
                                                                        trapmake <= '1';
1771
                                                                END IF;
1772
                                                        ELSE                                                                    --negx
1773
                                                                ea_build_now <= '1';
1774
                                                                set_exec(use_XZFlag) <= '1';
1775
                                                                write_back <='1';
1776
                                                                set_exec(opcADD) <= '1';
1777
                                                                set(addsub) <= '1';
1778
                                                                source_lowbits <= '1';
1779
                                                                IF opcode(5 downto 4)="00" THEN
1780
                                                                        set_exec(Regwrena) <= '1';
1781
                                                                END IF;
1782
                                                                IF setexecOPC='1' THEN
1783
                                                                        set(OP1out_zero) <= '1';
1784
                                                                END IF;
1785
                                                        END IF;
1786
                                                WHEN "001"=>
1787
                                                        IF opcode(7 downto 6)="11" THEN                                 --move from CCR 68010
1788
                                                                IF SR_Read=1 OR (cpu(0)='1' AND SR_Read=2) THEN
1789
                                                                        ea_build_now <= '1';
1790
                                                                        set_exec(opcMOVESR) <= '1';
1791
                                                                        datatype <= "01";
1792
                                                                        write_back <='1';                                                       -- im 68000 wird auch erst gelesen
1793
--                                                                      IF state="10" THEN
1794
--                                                                              skipFetch <= '1';
1795
--                                                                      END IF;
1796
                                                                        IF opcode(5 downto 4)="00" THEN
1797
                                                                                set_exec(Regwrena) <= '1';
1798
                                                                        END IF;
1799
                                                                ELSE
1800
                                                                        trap_illegal <= '1';
1801
                                                                        trapmake <= '1';
1802
                                                                END IF;
1803
                                                        ELSE                                                                                    --clr
1804
                                                                ea_build_now <= '1';
1805
                                                                write_back <='1';
1806
                                                                set_exec(opcAND) <= '1';
1807
                                                        IF cpu(0)='1' AND state="10" THEN
1808
                                                                skipFetch <= '1';
1809
                                                        END IF;
1810
                                                                IF setexecOPC='1' THEN
1811
                                                                        set(OP1out_zero) <= '1';
1812
                                                                END IF;
1813
                                                                IF opcode(5 downto 4)="00" THEN
1814
                                                                        set_exec(Regwrena) <= '1';
1815
                                                                END IF;
1816
                                                        END IF;
1817
                                                WHEN "010"=>
1818
                                                        ea_build_now <= '1';
1819
                                                        IF opcode(7 downto 6)="11" THEN                                 --move to CCR
1820
                                                                datatype <= "01";
1821
                                                                source_lowbits <= '1';
1822
                                                                IF (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1823
                                                                        set(to_CCR) <= '1';
1824
                                                                END IF;
1825
                                                        ELSE                                                                                    --neg
1826
                                                                write_back <='1';
1827
                                                                set_exec(opcADD) <= '1';
1828
                                                                set(addsub) <= '1';
1829
                                                                source_lowbits <= '1';
1830
                                                                IF opcode(5 downto 4)="00" THEN
1831
                                                                        set_exec(Regwrena) <= '1';
1832
                                                                END IF;
1833
                                                                IF setexecOPC='1' THEN
1834
                                                                        set(OP1out_zero) <= '1';
1835
                                                                END IF;
1836
                                                        END IF;
1837
                                                WHEN "011"=>                                                                            --not, move toSR
1838
                                                        IF opcode(7 downto 6)="11" THEN                                 --move to SR
1839
                                                                IF SVmode='1' THEN
1840
                                                                        ea_build_now <= '1';
1841
                                                                        datatype <= "01";
1842
                                                                        source_lowbits <= '1';
1843
                                                                        IF (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1844
                                                                                set(to_SR) <= '1';
1845
                                                                                set(to_CCR) <= '1';
1846
                                                                        END IF;
1847
                                                                        IF exec(to_SR)='1' OR (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1848
                                                                                setstate <="01";
1849
                                                                        END IF;
1850
                                                                ELSE
1851
                                                                        trap_priv <= '1';
1852
                                                                        trapmake <= '1';
1853
                                                                END IF;
1854
                                                        ELSE                                                                                    --not
1855
                                                                ea_build_now <= '1';
1856
                                                                write_back <='1';
1857
                                                                set_exec(opcEOR) <= '1';
1858
                                                                set_exec(ea_data_OP1) <= '1';
1859
                                                                IF opcode(5 downto 3)="000" THEN
1860
                                                                        set_exec(Regwrena) <= '1';
1861
                                                                END IF;
1862
                                                                IF setexecOPC='1' THEN
1863
                                                                        set(OP2out_one) <= '1';
1864
                                                                END IF;
1865
                                                        END IF;
1866
                                                WHEN "100"|"110"=>
1867
                                                        IF opcode(7)='1' THEN                   --movem, ext
1868
                                                                IF opcode(5 downto 3)="000" AND opcode(10)='0' THEN              --ext
1869
                                                                        source_lowbits <= '1';
1870
                                                                        set_exec(opcEXT) <= '1';
1871
                                                                        set_exec(opcMOVE) <= '1';
1872
                                                                        set_exec(Regwrena) <= '1';
1873
                                                                        IF opcode(6)='0' THEN
1874
                                                                                datatype <= "01";               --WORD
1875
                                                                        END IF;
1876
                                                                ELSE                                                                                                    --movem
1877
--                                                              IF opcode(11 downto 7)="10001" OR opcode(11 downto 7)="11001" THEN      --MOVEM
1878
                                                                        ea_only <= '1';
1879
                                                                        set(no_Flags) <= '1';
1880
                                                                        IF opcode(6)='0' THEN
1881
                                                                                datatype <= "01";               --Word transfer
1882
                                                                        END IF;
1883
                                                                        IF (opcode(5 downto 3)="100" OR opcode(5 downto 3)="011") AND state="01" THEN   -- -(An), (An)+
1884
                                                                                set_exec(save_memaddr) <= '1';
1885
                                                                                set_exec(Regwrena) <= '1';
1886
                                                                        END IF;
1887
                                                                        IF opcode(5 downto 3)="100" THEN        -- -(An)
1888
                                                                                movem_presub <= '1';
1889
                                                                                set(subidx) <= '1';
1890
                                                                        END IF;
1891
                                                                        IF state="10" THEN
1892
                                                                                set(Regwrena) <= '1';
1893
                                                                                set(opcMOVE) <= '1';
1894
                                                                        END IF;
1895
                                                                        IF decodeOPC='1' THEN
1896
                                                                                set(get_2ndOPC) <='1';
1897
                                                                                IF opcode(5 downto 3)="010" OR opcode(5 downto 3)="011" OR opcode(5 downto 3)="100" THEN
1898
                                                                                        next_micro_state <= movem1;
1899
                                                                                ELSE
1900
                                                                                        next_micro_state <= nop;
1901
                                                                                        set(ea_build) <= '1';
1902
                                                                                END IF;
1903
                                                                        END IF;
1904
                                                                        IF set(get_ea_now)='1' THEN
1905
                                                                                IF movem_run='1' THEN
1906
                                                                                        set(movem_action) <= '1';
1907
                                                                                        IF opcode(10)='0' THEN
1908
                                                                                                setstate <="11";
1909
                                                                                                set(write_reg) <= '1';
1910
                                                                                        ELSE
1911
                                                                                                setstate <="10";
1912
                                                                                        END IF;
1913
                                                                                        next_micro_state <= movem2;
1914
                                                                                        set(mem_addsub) <= '1';
1915
                                                                                ELSE
1916
                                                                                        setstate <="01";
1917
                                                                                END IF;
1918
                                                                        END IF;
1919
                                                                END IF;
1920
                                                        ELSE
1921
                                                                IF opcode(10)='1' THEN                                          --MUL.L, DIV.L 68020
1922
         --FPGA Multiplier for long                                                     
1923
                                                                        IF MUL_Hardware=1 AND (opcode(6)='0' AND (MUL_Mode=1 OR (cpu(1)='1' AND MUL_Mode=2))) THEN
1924
                                                                                IF decodeOPC='1' THEN
1925
                                                                                        next_micro_state <= nop;
1926
                                                                                        set(get_2ndOPC) <= '1';
1927
                                                                                        set(ea_build) <= '1';
1928
                                                                                END IF;
1929
                                                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND exec(ea_build)='1') THEN
1930
                                                                                        dest_2ndHbits <= '1';
1931
                                                                                        datatype <= "10";
1932
                                                                                        set(opcMULU) <= '1';
1933
                                                                                        set(write_lowlong) <= '1';
1934
                                                                                        IF sndOPC(10)='1' THEN
1935
                                                                                                setstate <="01";
1936
                                                                                                next_micro_state <= mul_end2;
1937
                                                                                        END IF;
1938
                                                                                        set(Regwrena) <= '1';
1939
                                                                                END IF;
1940
                                                                                source_lowbits <='1';
1941
                                                                                datatype <= "10";
1942
 
1943
         --no FPGA Multplier                                            
1944
                                                                        ELSIF (opcode(6)='1' AND (DIV_Mode=1 OR (cpu(1)='1' AND DIV_Mode=2))) OR
1945
                                                                           (opcode(6)='0' AND (MUL_Mode=1 OR (cpu(1)='1' AND MUL_Mode=2))) THEN
1946
                                                                                IF decodeOPC='1' THEN
1947
                                                                                        next_micro_state <= nop;
1948
                                                                                        set(get_2ndOPC) <= '1';
1949
                                                                                        set(ea_build) <= '1';
1950
                                                                                END IF;
1951
                                                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND exec(ea_build)='1')THEN
1952
                                                                                        setstate <="01";
1953
                                                                                        dest_2ndHbits <= '1';
1954
                                                                                        source_2ndLbits <= '1';
1955
                                                                                        IF opcode(6)='1' THEN
1956
                                                                                                next_micro_state <= div1;
1957
                                                                                        ELSE
1958
                                                                                                next_micro_state <= mul1;
1959
                                                                                                set(ld_rot_cnt) <= '1';
1960
                                                                                        END IF;
1961
                                                                                END IF;
1962
                                                                                IF z_error='0' AND set_V_Flag='0' AND set(opcDIVU)='1' THEN
1963
                                                                                        set(Regwrena) <= '1';
1964
                                                                                END IF;
1965
                                                                                source_lowbits <='1';
1966
                                                                                IF nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
1967
                                                                                        dest_hbits <= '1';
1968
                                                                                END IF;
1969
                                                                                datatype <= "10";
1970
                                                                        ELSE
1971
                                                                                trap_illegal <= '1';
1972
                                                                                trapmake <= '1';
1973
                                                                        END IF;
1974
 
1975
                                                                ELSE                                                    --pea, swap
1976
                                                                        IF opcode(6)='1' THEN
1977
                                                                                datatype <= "10";
1978
                                                                                IF opcode(5 downto 3)="000" THEN                --swap
1979
                                                                                        set_exec(opcSWAP) <= '1';
1980
                                                                                        set_exec(Regwrena) <= '1';
1981
                                                                                ELSIF opcode(5 downto 3)="001" THEN             --bkpt
1982 7 tobiflex
                                                                                        trap_illegal <= '1';
1983
                                                                                        trapmake <= '1';
1984 2 tobiflex
                                                                                ELSE                                                                    --pea
1985
                                                                                        ea_only <= '1';
1986
                                                                                        ea_build_now <= '1';
1987
                                                                                        IF nextpass='1' AND micro_state=idle THEN
1988
                                                                                                set(presub) <= '1';
1989
                                                                                                setstackaddr <='1';
1990
                                                                                                setstate <="11";
1991
                                                                                                next_micro_state <= nop;
1992
                                                                                        END IF;
1993
                                                                                        IF set(get_ea_now)='1' THEN
1994
                                                                                                setstate <="01";
1995
                                                                                        END IF;
1996
                                                                                END IF;
1997
                                                                        ELSE
1998
                                                                                IF opcode(5 downto 3)="001" THEN --link.l
1999
                                                                                        datatype <= "10";
2000
                                                                                        set_exec(opcADD) <= '1';                                                --for displacement
2001
                                                                                        set_exec(Regwrena) <= '1';
2002
                                                                                        set(no_Flags) <= '1';
2003
                                                                                        IF decodeOPC='1' THEN
2004
                                                                                                set(linksp) <= '1';
2005
                                                                                                set(longaktion) <= '1';
2006
                                                                                                next_micro_state <= link1;
2007
                                                                                                set(presub) <= '1';
2008
                                                                                                setstackaddr <='1';
2009
                                                                                                set(mem_addsub) <= '1';
2010
                                                                                                source_lowbits <= '1';
2011
                                                                                                source_areg <= '1';
2012
                                                                                                set(store_ea_data) <= '1';
2013
                                                                                        END IF;
2014
                                                                                ELSE                                            --nbcd  
2015
                                                                                        ea_build_now <= '1';
2016
                                                                                        set_exec(use_XZFlag) <= '1';
2017
                                                                                        write_back <='1';
2018
                                                                                        set_exec(opcADD) <= '1';
2019
                                                                                        set_exec(opcSBCD) <= '1';
2020
                                                                                        set(addsub) <= '1';
2021
                                                                                        source_lowbits <= '1';
2022
                                                                                        IF opcode(5 downto 4)="00" THEN
2023
                                                                                                set_exec(Regwrena) <= '1';
2024
                                                                                        END IF;
2025
                                                                                        IF setexecOPC='1' THEN
2026
                                                                                                set(OP1out_zero) <= '1';
2027
                                                                                        END IF;
2028
                                                                                END IF;
2029
                                                                        END IF;
2030
                                                                END IF;
2031
                                                        END IF;
2032
--0x4AXX                                                        
2033
                                                WHEN "101"=>                                            --tst, tas  4aFC - illegal
2034
--                                                      IF opcode(7 downto 2)="111111" THEN   --illegal
2035
                                                        IF opcode(7 downto 3)="11111" AND opcode(2 downto 1)/="00" THEN   --0x4AFC illegal  --0x4AFB BKP Sinclair QL
2036
                                                                trap_illegal <= '1';
2037
                                                                trapmake <= '1';
2038
                                                        ELSE
2039
                                                                ea_build_now <= '1';
2040
                                                                IF setexecOPC='1' THEN
2041
                                                                        source_lowbits <= '1';
2042
                                                                        IF opcode(3)='1' THEN                   --MC68020...
2043
                                                                                source_areg <= '1';
2044
                                                                        END IF;
2045
                                                                END IF;
2046
                                                                set_exec(opcMOVE) <= '1';
2047
                                                                IF opcode(7 downto 6)="11" THEN         --tas
2048
                                                                        set_exec_tas <= '1';
2049
                                                                        write_back <= '1';
2050
                                                                        datatype <= "00";                               --Byte
2051
                                                                        IF opcode(5 downto 4)="00" THEN
2052
                                                                                set_exec(Regwrena) <= '1';
2053
                                                                        END IF;
2054
                                                                END IF;
2055
                                                        END IF;
2056
----                                            WHEN "110"=>
2057
                                                WHEN "111"=>                                    --4EXX
2058
--
2059
--                                                                                      ea_only <= '1';
2060
--                                                                                      ea_build_now <= '1';
2061
--                                                                                      IF nextpass='1' AND micro_state=idle THEN
2062
--                                                                                              set(presub) <= '1';
2063
--                                                                                              setstackaddr <='1';
2064
--                                                                                              set(mem_addsub) <= '1';
2065
--                                                                                              setstate <="11";
2066
--                                                                                              next_micro_state <= nop;
2067
--                                                                                      END IF;
2068
--                                                                                      IF set(get_ea_now)='1' THEN
2069
--                                                                                              setstate <="01";
2070
--                                                                                      END IF;
2071
--                                                              
2072
 
2073
 
2074
 
2075
                                                        IF opcode(7)='1' THEN           --jsr, jmp
2076
                                                                datatype <= "10";
2077
                                                                ea_only <= '1';
2078
                                                                ea_build_now <= '1';
2079
                                                                IF exec(ea_to_pc)='1' THEN
2080
                                                                        next_micro_state <= nop;
2081
                                                                END IF;
2082
                                                                IF nextpass='1' AND micro_state=idle AND opcode(6)='0' THEN
2083
                                                                        set(presub) <= '1';
2084
                                                                        setstackaddr <='1';
2085
                                                                        setstate <="11";
2086
                                                                        next_micro_state <= nopnop;
2087
                                                                END IF;
2088
-- achtung buggefahr                                                            
2089
                                                                IF micro_state=ld_AnXn1 AND brief(8)='0'THEN                     --JMP/JSR n(Ax,Dn)
2090
                                                                        skipFetch <= '1';
2091
                                                                END IF;
2092
                                                                IF state="00" THEN
2093
                                                                        writePC <= '1';
2094
                                                                END IF;
2095
                                                                set(hold_dwr) <= '1';
2096
                                                                IF set(get_ea_now)='1' THEN                                     --jsr
2097
                                                                        IF exec(longaktion)='0' OR long_done='1' THEN
2098
                                                                                skipFetch <= '1';
2099
                                                                        END IF;
2100
                                                                        setstate <="01";
2101
                                                                        set(ea_to_pc) <= '1';
2102
                                                                END IF;
2103
                                                        ELSE                                            --
2104
                                                                CASE opcode(6 downto 0) IS
2105
                                                                        WHEN "1000000"|"1000001"|"1000010"|"1000011"|"1000100"|"1000101"|"1000110"|"1000111"|           --trap
2106
                                                                             "1001000"|"1001001"|"1001010"|"1001011"|"1001100"|"1001101"|"1001110"|"1001111" =>         --trap
2107
                                                                                        trap_trap <='1';
2108
                                                                                        trapmake <= '1';
2109
                                                                        WHEN "1010000"|"1010001"|"1010010"|"1010011"|"1010100"|"1010101"|"1010110"|"1010111"=>          --link word
2110
                                                                                datatype <= "10";
2111
                                                                                set_exec(opcADD) <= '1';                                                --for displacement
2112
                                                                                set_exec(Regwrena) <= '1';
2113
                                                                                set(no_Flags) <= '1';
2114
                                                                                IF decodeOPC='1' THEN
2115
                                                                                        next_micro_state <= link1;
2116
                                                                                        set(presub) <= '1';
2117
                                                                                        setstackaddr <='1';
2118
                                                                                        set(mem_addsub) <= '1';
2119
                                                                                        source_lowbits <= '1';
2120
                                                                                        source_areg <= '1';
2121
                                                                                        set(store_ea_data) <= '1';
2122
                                                                                END IF;
2123
 
2124
                                                                        WHEN "1011000"|"1011001"|"1011010"|"1011011"|"1011100"|"1011101"|"1011110"|"1011111" =>         --unlink
2125
                                                                                datatype <= "10";
2126
                                                                                set_exec(Regwrena) <= '1';
2127
                                                                                set_exec(opcMOVE) <= '1';
2128
                                                                                set(no_Flags) <= '1';
2129
                                                                                IF decodeOPC='1' THEN
2130
                                                                                        setstate <= "01";
2131
                                                                                        next_micro_state <= unlink1;
2132
                                                                                        set(opcMOVE) <= '1';
2133
                                                                                        set(Regwrena) <= '1';
2134
                                                                                        setstackaddr <='1';
2135
                                                                                        source_lowbits <= '1';
2136
                                                                                        source_areg <= '1';
2137
                                                                                END IF;
2138
 
2139
                                                                        WHEN "1100000"|"1100001"|"1100010"|"1100011"|"1100100"|"1100101"|"1100110"|"1100111" =>         --move An,USP
2140
                                                                                IF SVmode='1' THEN
2141
--                                                                                      set(no_Flags) <= '1';
2142
                                                                                        set(to_USP) <= '1';
2143
                                                                                        source_lowbits <= '1';
2144
                                                                                        source_areg <= '1';
2145
                                                                                        datatype <= "10";
2146
                                                                                ELSE
2147
                                                                                        trap_priv <= '1';
2148
                                                                                        trapmake <= '1';
2149
                                                                                END IF;
2150
                                                                        WHEN "1101000"|"1101001"|"1101010"|"1101011"|"1101100"|"1101101"|"1101110"|"1101111" =>         --move USP,An
2151
                                                                                IF SVmode='1' THEN
2152
--                                                                                      set(no_Flags) <= '1';
2153
                                                                                        set(from_USP) <= '1';
2154
                                                                                        datatype <= "10";
2155
                                                                                        set_exec(Regwrena) <= '1';
2156
                                                                                ELSE
2157
                                                                                        trap_priv <= '1';
2158
                                                                                        trapmake <= '1';
2159
                                                                                END IF;
2160
 
2161
                                                                        WHEN "1110000" =>                                       --reset
2162
                                                                                IF SVmode='0' THEN
2163
                                                                                        trap_priv <= '1';
2164
                                                                                        trapmake <= '1';
2165
                                                                                ELSE
2166
                                                                                        set(opcRESET) <= '1';
2167
                                                                                        IF decodeOPC='1' THEN
2168
                                                                                                set(ld_rot_cnt) <= '1';
2169
                                                                                                set_rot_cnt <= "000000";
2170
                                                                                        END IF;
2171
                                                                                END IF;
2172
 
2173
                                                                        WHEN "1110001" =>                                       --nop
2174
 
2175
                                                                        WHEN "1110010" =>                                       --stop
2176
                                                                                IF SVmode='0' THEN
2177
                                                                                        trap_priv <= '1';
2178
                                                                                        trapmake <= '1';
2179
                                                                                ELSE
2180
                                                                                        IF decodeOPC='1' THEN
2181
                                                                                                setnextpass <= '1';
2182
                                                                                                set_stop <= '1';
2183
                                                                                        END IF;
2184
                                                                                        IF stop='1' THEN
2185
                                                                                                skipFetch <= '1';
2186
                                                                                        END IF;
2187
 
2188
                                                                                END IF;
2189
 
2190
                                                                        WHEN "1110011"|"1110111" =>                                                                     --rte/rtr
2191
                                                                                IF SVmode='1' OR opcode(2)='1' THEN
2192
                                                                                        IF decodeOPC='1' THEN
2193
                                                                                                setstate <= "10";
2194
                                                                                                set(postadd) <= '1';
2195
                                                                                                setstackaddr <= '1';
2196
                                                                                                IF opcode(2)='1' THEN
2197
                                                                                                        set(directCCR) <= '1';
2198
                                                                                                ELSE
2199
                                                                                                        set(directSR) <= '1';
2200
                                                                                                END IF;
2201
                                                                                                next_micro_state <= rte1;
2202
                                                                                        END IF;
2203
                                                                                ELSE
2204
                                                                                        trap_priv <= '1';
2205
                                                                                        trapmake <= '1';
2206
                                                                                END IF;
2207
 
2208
                                                                        WHEN "1110100" =>                                                                       --rtd
2209
                                                                                datatype <= "10";
2210
                                                                                IF decodeOPC='1' THEN
2211
                                                                                        setstate <= "10";
2212
                                                                                        set(postadd) <= '1';
2213
                                                                                        setstackaddr <= '1';
2214
                                                                                        set(direct_delta) <= '1';
2215
                                                                                        set(directPC) <= '1';
2216
                                                                                        set_direct_data <= '1';
2217
                                                                                        next_micro_state <= rtd1;
2218
                                                                                END IF;
2219
 
2220
 
2221
                                                                        WHEN "1110101" =>                                                                       --rts
2222
                                                                                datatype <= "10";
2223
                                                                                IF decodeOPC='1' THEN
2224
                                                                                        setstate <= "10";
2225
                                                                                        set(postadd) <= '1';
2226
                                                                                        setstackaddr <= '1';
2227
                                                                                        set(direct_delta) <= '1';
2228
                                                                                        set(directPC) <= '1';
2229
                                                                                        next_micro_state <= nopnop;
2230
                                                                                END IF;
2231
 
2232
                                                                        WHEN "1110110" =>                                                                       --trapv
2233
                                                                                IF decodeOPC='1' THEN
2234
                                                                                        setstate <= "01";
2235
                                                                                END IF;
2236
                                                                                IF Flags(1)='1' AND state="01" THEN
2237
                                                                                        trap_trapv <= '1';
2238
                                                                                        trapmake <= '1';
2239
                                                                                END IF;
2240
 
2241
                                                                        WHEN "1111010"|"1111011" =>                                                                     --movec
2242
                                                                                IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
2243
                                                                                        trap_illegal <= '1';
2244
                                                                                        trapmake <= '1';
2245
                                                                                ELSIF SVmode='0' THEN
2246
                                                                                        trap_priv <= '1';
2247
                                                                                        trapmake <= '1';
2248
                                                                                ELSE
2249
                                                                                        datatype <= "10";       --Long
2250
                                                                                        IF last_data_read(11 downto 0)=X"800" THEN
2251
                                                                                                set(from_USP) <= '1';
2252
                                                                                                IF opcode(0)='1' THEN
2253
                                                                                                        set(to_USP) <= '1';
2254
                                                                                                END IF;
2255
                                                                                        END IF;
2256
                                                                                        IF opcode(0)='0' THEN
2257
                                                                                                set_exec(movec_rd) <= '1';
2258
                                                                                        ELSE
2259
                                                                                                set_exec(movec_wr) <= '1';
2260
                                                                                        END IF;
2261
                                                                                        IF decodeOPC='1' THEN
2262
                                                                                                next_micro_state <= movec1;
2263
                                                                                                getbrief <='1';
2264
                                                                                        END IF;
2265
                                                                                END IF;
2266
 
2267
                                                                        WHEN OTHERS =>
2268
                                                                                trap_illegal <= '1';
2269
                                                                                trapmake <= '1';
2270
                                                                END CASE;
2271
                                                        END IF;
2272
                                                WHEN OTHERS => NULL;
2273
                                        END CASE;
2274
                                END IF;
2275
--                                      
2276
---- 0101 ----------------------------------------------------------------------------          
2277
                        WHEN "0101" =>                                                          --subq, addq    
2278
 
2279
                                        IF opcode(7 downto 6)="11" THEN --dbcc
2280
                                                IF opcode(5 downto 3)="001" THEN --dbcc
2281
                                                        IF decodeOPC='1' THEN
2282
                                                                next_micro_state <= dbcc1;
2283
                                                                set(OP2out_one) <= '1';
2284
                                                                data_is_source <= '1';
2285
                                                        END IF;
2286
                                                ELSE                            --Scc
2287
                                                        datatype <= "00";                       --Byte
2288
                                                        ea_build_now <= '1';
2289
                                                        write_back <= '1';
2290
                                                        set_exec(opcScc) <= '1';
2291
                                                        IF cpu(0)='1' AND state="10" THEN
2292
                                                                skipFetch <= '1';
2293
                                                        END IF;
2294
                                                        IF opcode(5 downto 4)="00" THEN
2295
                                                                set_exec(Regwrena) <= '1';
2296
                                                        END IF;
2297
                                                END IF;
2298
                                        ELSE                                    --addq, subq
2299
                                                ea_build_now <= '1';
2300
                                                IF opcode(5 downto 3)="001" THEN
2301
                                                        set(no_Flags) <= '1';
2302
                                                END IF;
2303
                                                IF opcode(8)='1' THEN
2304
                                                        set(addsub) <= '1';
2305
                                                END IF;
2306
                                                write_back <= '1';
2307
                                                set_exec(opcADDQ) <= '1';
2308
                                                set_exec(opcADD) <= '1';
2309
                                                set_exec(ea_data_OP1) <= '1';
2310
                                                IF opcode(5 downto 4)="00" THEN
2311
                                                        set_exec(Regwrena) <= '1';
2312
                                                END IF;
2313
                                        END IF;
2314
--                              
2315
---- 0110 ----------------------------------------------------------------------------          
2316
                        WHEN "0110" =>                          --bra,bsr,bcc
2317
                                datatype <= "10";
2318
 
2319
                                IF micro_state=idle THEN
2320
                                        IF opcode(11 downto 8)="0001" THEN              --bsr
2321
                                                set(presub) <= '1';
2322
                                                setstackaddr <='1';
2323
                                                IF opcode(7 downto 0)="11111111" THEN
2324
                                                        next_micro_state <= bsr2;
2325
                                                        set(longaktion) <= '1';
2326
                                                ELSIF opcode(7 downto 0)="00000000" THEN
2327
                                                        next_micro_state <= bsr2;
2328
                                                ELSE
2329
                                                        next_micro_state <= bsr1;
2330
                                                        setstate <= "11";
2331
                                                        writePC <= '1';
2332
                                                END IF;
2333
                                        ELSE                                                                    --bra
2334
                                                IF opcode(7 downto 0)="11111111" THEN
2335
                                                        next_micro_state <= bra1;
2336
                                                        set(longaktion) <= '1';
2337
                                                ELSIF opcode(7 downto 0)="00000000" THEN
2338
                                                        next_micro_state <= bra1;
2339
                                                ELSE
2340
                                                        setstate <= "01";
2341
                                                        next_micro_state <= bra1;
2342
                                                END IF;
2343
                                        END IF;
2344
                                END IF;
2345
 
2346
-- 0111 ----------------------------------------------------------------------------            
2347
                        WHEN "0111" =>                          --moveq
2348
--                              IF opcode(8)='0' THEN   -- Cloanto's Amiga Forver ROMs have mangled moveq instructions with a 1 here...
2349
                                        datatype <= "10";               --Long
2350
                                        set_exec(Regwrena) <= '1';
2351
                                        set_exec(opcMOVEQ) <= '1';
2352
                                        set_exec(opcMOVE) <= '1';
2353
                                        dest_hbits <= '1';
2354
--                              ELSE
2355
--                                      trap_illegal <= '1';
2356
--                                      trapmake <= '1';
2357
--                              END IF;
2358
 
2359
---- 1000 ----------------------------------------------------------------------------          
2360
                        WHEN "1000" =>                                                          --or    
2361
                                IF opcode(7 downto 6)="11" THEN --divu, divs
2362
                                        IF DIV_Mode/=3 THEN
2363
                                                IF opcode(5 downto 4)="00" THEN --Dn, An
2364
                                                        regdirectsource <= '1';
2365
                                                END IF;
2366
                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2367
                                                        setstate <="01";
2368
                                                        next_micro_state <= div1;
2369
                                                END IF;
2370
                                                ea_build_now <= '1';
2371
                                                IF z_error='0' AND set_V_Flag='0' THEN
2372
                                                        set_exec(Regwrena) <= '1';
2373
                                                END IF;
2374
                                                        source_lowbits <='1';
2375
                                                IF nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2376
                                                        dest_hbits <= '1';
2377
                                                END IF;
2378
                                                datatype <= "01";
2379
                                        ELSE
2380
                                                trap_illegal <= '1';
2381
                                                trapmake <= '1';
2382
                                        END IF;
2383
 
2384
                                ELSIF opcode(8)='1' AND opcode(5 downto 4)="00" THEN    --sbcd, pack , unpack
2385
                                        IF opcode(7 downto 6)="00" THEN --sbcd
2386
                                                build_bcd <= '1';
2387
                                                set_exec(opcADD) <= '1';
2388
                                                set_exec(opcSBCD) <= '1';
2389
                                                set(addsub) <= '1';
2390
                                        ELSIF opcode(7 downto 6)="01" OR opcode(7 downto 6)="10" THEN   --pack , unpack
2391
                                                set_exec(ea_data_OP1) <= '1';
2392
                                                set(no_Flags) <= '1';
2393
                                                source_lowbits <='1';
2394
                                                IF opcode(7 downto 6) = "01" THEN       --pack
2395
                                                        set_exec(opcPACK) <= '1';
2396
                                                        datatype <= "01";                               --Word
2397
                                                ELSE                                                            --unpk
2398
                                                        set_exec(opcUNPACK) <= '1';
2399
                                                        datatype <= "00";                               --Byte
2400
                                                END IF;
2401
                                                IF opcode(3)='0' THEN
2402
                                                        IF opcode(7 downto 6) = "01" THEN       --pack
2403
                                                                set_datatype <= "00";           --Byte
2404
                                                        ELSE                                                            --unpk
2405
                                                                set_datatype <= "01";           --Word
2406
                                                        END IF;
2407
                                                        set_exec(Regwrena) <= '1';
2408
                                                        dest_hbits <= '1';
2409
                                                        IF decodeOPC='1' THEN
2410
                                                                next_micro_state <= nop;
2411
--                                                              set_direct_data <= '1';
2412
                                                                set(store_ea_packdata) <= '1';
2413
                                                                set(store_ea_data) <= '1';
2414
                                                        END IF;
2415
                                                ELSE                            -- pack -(Ax),-(Ay)
2416
                                                        write_back <= '1';
2417
                                                        IF decodeOPC='1' THEN
2418
                                                                next_micro_state <= pack1;
2419
                                                                set_direct_data <= '1';
2420
                                                        END IF;
2421
                                                END IF;
2422
                                        ELSE
2423
                                                trap_illegal <= '1';
2424
                                                trapmake <= '1';
2425
                                        END IF;
2426
                                ELSE                                                                    --or
2427
                                        set_exec(opcOR) <= '1';
2428
                                        build_logical <= '1';
2429
                                END IF;
2430
 
2431
---- 1001, 1101 -----------------------------------------------------------------------         
2432
                        WHEN "1001"|"1101" =>                                           --sub, add      
2433
                                set_exec(opcADD) <= '1';
2434
                                ea_build_now <= '1';
2435
                                IF opcode(14)='0' THEN
2436
                                        set(addsub) <= '1';
2437
                                END IF;
2438
                                IF opcode(7 downto 6)="11" THEN --      --adda, suba
2439
                                        IF opcode(8)='0' THEN    --adda.w, suba.w
2440
                                                datatype <= "01";       --Word
2441
                                        END IF;
2442
                                        set_exec(Regwrena) <= '1';
2443
                                        source_lowbits <='1';
2444
                                        IF opcode(3)='1' THEN
2445
                                                source_areg <= '1';
2446
                                        END IF;
2447
                                        set(no_Flags) <= '1';
2448
                                        IF setexecOPC='1' THEN
2449
                                                dest_areg <='1';
2450
                                                dest_hbits <= '1';
2451
                                        END IF;
2452
                                ELSE
2453
                                        IF opcode(8)='1' AND opcode(5 downto 4)="00" THEN               --addx, subx
2454
                                                build_bcd <= '1';
2455
                                        ELSE                                                    --sub, add
2456
                                                build_logical <= '1';
2457
                                        END IF;
2458
                                END IF;
2459
 
2460
--                              
2461
---- 1010 ----------------------------------------------------------------------------          
2462
                        WHEN "1010" =>                                                  --Trap 1010
2463
                                trap_1010 <= '1';
2464
                                trapmake <= '1';
2465
---- 1011 ----------------------------------------------------------------------------          
2466
                        WHEN "1011" =>                                                  --eor, cmp
2467
                                ea_build_now <= '1';
2468
                                IF opcode(7 downto 6)="11" THEN --CMPA
2469
                                        IF opcode(8)='0' THEN    --cmpa.w
2470
                                                datatype <= "01";       --Word
2471
                                                set_exec(opcCPMAW) <= '1';
2472
                                        END IF;
2473
                                        set_exec(opcCMP) <= '1';
2474
                                        IF setexecOPC='1' THEN
2475
                                                source_lowbits <='1';
2476
                                                IF opcode(3)='1' THEN
2477
                                                        source_areg <= '1';
2478
                                                END IF;
2479
                                                dest_areg <='1';
2480
                                                dest_hbits <= '1';
2481
                                        END IF;
2482
                                        set(addsub) <= '1';
2483
                                ELSE
2484
                                        IF opcode(8)='1' THEN
2485
                                                IF opcode(5 downto 3)="001" THEN                --cmpm
2486
                                                        set_exec(opcCMP) <= '1';
2487
                                                        IF decodeOPC='1' THEN
2488
                                                                IF opcode(2 downto 0)="111" THEN
2489
                                                                        set(use_SP) <= '1';
2490
                                                                END IF;
2491
                                                                setstate <= "10";
2492
                                                                set(update_ld) <= '1';
2493
                                                                set(postadd) <= '1';
2494
                                                                next_micro_state <= cmpm;
2495
                                                        END IF;
2496
                                                        set_exec(ea_data_OP1) <= '1';
2497
                                                        set(addsub) <= '1';
2498
                                                ELSE                                            --EOR
2499
                                                        build_logical <= '1';
2500
                                                        set_exec(opcEOR) <= '1';
2501
                                                END IF;
2502
                                        ELSE                                                    --CMP
2503
                                                build_logical <= '1';
2504
                                                set_exec(opcCMP) <= '1';
2505
                                                set(addsub) <= '1';
2506
                                        END IF;
2507
                                END IF;
2508
--                              
2509
---- 1100 ----------------------------------------------------------------------------          
2510
                        WHEN "1100" =>                                                          --and, exg
2511
                                IF opcode(7 downto 6)="11" THEN --mulu, muls
2512
                                        IF MUL_Mode/=3 THEN
2513
                                                IF opcode(5 downto 4)="00" THEN --Dn, An
2514
                                                        regdirectsource <= '1';
2515
                                                END IF;
2516
                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2517
                                                        IF MUL_Hardware=0 THEN
2518
                                                                setstate <="01";
2519
                                                                set(ld_rot_cnt) <= '1';
2520
                                                                next_micro_state <= mul1;
2521
                                                        ELSE
2522
                                                                set_exec(write_lowlong) <= '1';
2523
                                                                set_exec(opcMULU) <= '1';
2524
                                                        END IF;
2525
                                                END IF;
2526
                                                ea_build_now <= '1';
2527
                                                set_exec(Regwrena) <= '1';
2528
                                                source_lowbits <='1';
2529
                                                IF (nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2530
                                                        dest_hbits <= '1';
2531
                                                END IF;
2532
                                                datatype <= "01";
2533
                                                IF setexecOPC='1' THEN
2534
                                                        datatype <= "10";
2535
                                                END IF;
2536
 
2537
                                        ELSE
2538
                                                trap_illegal <= '1';
2539
                                                trapmake <= '1';
2540
                                        END IF;
2541
 
2542
                                ELSIF opcode(8)='1' AND opcode(5 downto 4)="00" THEN    --exg, abcd
2543
                                        IF opcode(7 downto 6)="00" THEN --abcd
2544
                                                build_bcd <= '1';
2545
                                                set_exec(opcADD) <= '1';
2546
                                                set_exec(opcABCD) <= '1';
2547
                                        ELSE                                                                    --exg
2548
                                                datatype <= "10";
2549
                                                set(Regwrena) <= '1';
2550
                                                set(exg) <= '1';
2551
                                                IF opcode(6)='1' AND opcode(3)='1' THEN
2552
                                                        dest_areg <= '1';
2553
                                                        source_areg <= '1';
2554
                                                END IF;
2555
                                                IF decodeOPC='1' THEN
2556
                                                        setstate <= "01";
2557
                                                ELSE
2558
                                                        dest_hbits <= '1';
2559
                                                END IF;
2560
                                        END IF;
2561
                                ELSE                                                                    --and
2562
                                        set_exec(opcAND) <= '1';
2563
                                        build_logical <= '1';
2564
                                END IF;
2565
--                              
2566
---- 1110 ----------------------------------------------------------------------------          
2567
                        WHEN "1110" =>                                                          --rotation / bitfield
2568
                                IF opcode(7 downto 6)="11" THEN
2569
                                        IF opcode(11)='0' THEN
2570
                                                IF BarrelShifter=0 THEN
2571
                                                        set_exec(opcROT) <= '1';
2572
                                                ELSE
2573
                                                        set_exec(exec_BS) <='1';
2574
                                                END IF;
2575
                                                ea_build_now <= '1';
2576
                                                datatype <= "01";
2577
                                                set_rot_bits <= opcode(10 downto 9);
2578
                                                set_exec(ea_data_OP1) <= '1';
2579
                                                write_back <= '1';
2580
                                        ELSE            --bitfield
2581
                                                IF BitField=0 OR (cpu(1)='0' AND BitField=2) THEN
2582
                                                        trap_illegal <= '1';
2583
                                                        trapmake <= '1';
2584
                                                ELSE
2585
                                                        IF decodeOPC='1' THEN
2586
                                                                next_micro_state <= nop;
2587
                                                                set(get_2ndOPC) <= '1';
2588
                                                                set(ea_build) <= '1';
2589
                                                        END IF;
2590
                                                        set_exec(opcBF) <= '1';
2591
--              000-bftst, 001-bfextu, 010-bfchg, 011-bfexts, 100-bfclr, 101-bfff0, 110-bfset, 111-bfins                                                                
2592
                                                        IF opcode(10)='1' OR opcode(8)='0' THEN
2593
                                                                set_exec(opcBFwb) <= '1';                       --'1' for tst,chg,clr,ffo,set,ins    --'0' for extu,exts
2594
                                                        END IF;
2595
                                                        IF opcode(10 downto 8)="111" THEN       --BFINS
2596
                                                                set_exec(ea_data_OP1) <= '1';
2597
                                                        END IF;
2598
 
2599
                                                        IF opcode(10 downto 8)="010" OR opcode(10 downto 8)="100" OR opcode(10 downto 8)="110" OR opcode(10 downto 8)="111" THEN
2600
                                                                write_back <= '1';
2601
                                                        END IF;
2602
                                                        ea_only <= '1';
2603
                                                        IF opcode(10 downto 8)="001" OR opcode(10 downto 8)="011" OR opcode(10 downto 8)="101" THEN
2604
                                                                set_exec(Regwrena) <= '1';
2605
                                                        END IF;
2606
                                                        IF opcode(4 downto 3)="00" THEN
2607
                                                                IF opcode(10 downto 8)/="000" THEN
2608
                                                                        set_exec(Regwrena) <= '1';
2609
                                                                END IF;
2610
                                                                IF exec(ea_build)='1' THEN
2611
                                                                        dest_2ndHbits <= '1';
2612
                                                                        source_2ndLbits <= '1';
2613
                                                                        set(get_bfoffset) <='1';
2614
                                                                        setstate <= "01";
2615
                                                                END IF;
2616
                                                        END IF;
2617
                                                        IF set(get_ea_now)='1' THEN
2618
                                                                setstate <= "01";
2619
                                                        END IF;
2620
                                                        IF exec(get_ea_now)='1' THEN
2621
                                                                dest_2ndHbits <= '1';
2622
                                                                source_2ndLbits <= '1';
2623
                                                                set(get_bfoffset) <='1';
2624
                                                                setstate <= "01";
2625
                                                                set(mem_addsub) <='1';
2626
                                                                next_micro_state <= bf1;
2627
                                                        END IF;
2628
 
2629
                                                        IF setexecOPC='1' THEN
2630
                                                                IF opcode(10 downto 8)="111" THEN       --BFINS
2631
                                                                        source_2ndHbits <= '1';
2632
                                                                ELSE
2633
                                                                        source_lowbits <= '1';
2634
                                                                END IF;
2635
                                                                IF opcode(10 downto 8)="001" OR opcode(10 downto 8)="011" OR opcode(10 downto 8)="101" THEN     --BFEXT, BFFFO
2636
                                                                        dest_2ndHbits <= '1';
2637
                                                                END IF;
2638
                                                        END IF;
2639
                                                END IF;
2640
                                        END IF;
2641
                                ELSE
2642
                                        data_is_source <= '1';
2643
                                        IF BarrelShifter=0 OR (cpu(1)='0' AND BarrelShifter=2) THEN
2644
 
2645
                                                set_exec(opcROT) <= '1';
2646
                                                set_rot_bits <= opcode(4 downto 3);
2647
                                                set_exec(Regwrena) <= '1';
2648
                                                IF decodeOPC='1' THEN
2649
                                                        IF opcode(5)='1' THEN
2650
                                                                next_micro_state <= rota1;
2651
                                                                set(ld_rot_cnt) <= '1';
2652
                                                                setstate <= "01";
2653
                                                        ELSE
2654
                                                                set_rot_cnt(2 downto 0) <= opcode(11 downto 9);
2655
                                                                IF opcode(11 downto 9)="000" THEN
2656
                                                                        set_rot_cnt(3) <='1';
2657
                                                                ELSE
2658
                                                                        set_rot_cnt(3) <='0';
2659
                                                                END IF;
2660
                                                        END IF;
2661
                                                END IF;
2662
                                        ELSE
2663
                                                set_exec(exec_BS) <='1';
2664
                                                set_rot_bits <= opcode(4 downto 3);
2665
                                                set_exec(Regwrena) <= '1';
2666
                                        END IF;
2667
                                END IF;
2668
--                                                      
2669
----      ----------------------------------------------------------------------------          
2670
                        WHEN OTHERS =>
2671
                                trap_1111 <= '1';
2672
                                trapmake <= '1';
2673
 
2674
                END CASE;
2675
 
2676
-- use for AND, OR, EOR, CMP
2677
                IF build_logical='1' THEN
2678
                        ea_build_now <= '1';
2679
                        IF set_exec(opcCMP)='0' AND (opcode(8)='0' OR opcode(5 downto 4)="00" ) THEN
2680
                                set_exec(Regwrena) <= '1';
2681
                        END IF;
2682
                        IF opcode(8)='1' THEN
2683
                                write_back <= '1';
2684
                                set_exec(ea_data_OP1) <= '1';
2685
                        ELSE
2686
                                source_lowbits <='1';
2687
                                IF opcode(3)='1' THEN           --use for cmp
2688
                                        source_areg <= '1';
2689
                                END IF;
2690
                                IF setexecOPC='1' THEN
2691
                                        dest_hbits <= '1';
2692
                                END IF;
2693
                        END IF;
2694
                END IF;
2695
 
2696
-- use for ABCD, SBCD
2697
                IF build_bcd='1' THEN
2698
                        set_exec(use_XZFlag) <= '1';
2699
                        set_exec(ea_data_OP1) <= '1';
2700
                        write_back <= '1';
2701
                        source_lowbits <='1';
2702
                        IF opcode(3)='1' THEN
2703
                                IF decodeOPC='1' THEN
2704
                                        IF opcode(2 downto 0)="111" THEN
2705
                                                set(use_SP) <= '1';
2706
                                        END IF;
2707
                                        setstate <= "10";
2708
                                        set(update_ld) <= '1';
2709
                                        set(presub) <= '1';
2710
                                        next_micro_state <= op_AxAy;
2711
                                        dest_areg <= '1';                               --???
2712
                                END IF;
2713
                        ELSE
2714
                                dest_hbits <= '1';
2715
                                set_exec(Regwrena) <= '1';
2716
                        END IF;
2717
                END IF;
2718
 
2719
 
2720
------------------------------------------------------------------------------          
2721
------------------------------------------------------------------------------          
2722
                IF set_Z_error='1'  THEN                -- divu by zero
2723
                        trapmake <= '1';                        --wichtig for USP
2724
                        IF trapd='0' THEN
2725
                                writePC <= '1';
2726
                        END IF;
2727
                END IF;
2728
 
2729
-----------------------------------------------------------------------------
2730
-- execute microcode
2731
-----------------------------------------------------------------------------
2732
                IF rising_edge(clk) THEN
2733
                IF Reset='1' THEN
2734
                                micro_state <= ld_nn;
2735
                        ELSIF clkena_lw='1' THEN
2736
                                trapd <= trapmake;
2737
                                micro_state <= next_micro_state;
2738
                        END IF;
2739
                END IF;
2740
 
2741
                        CASE micro_state IS
2742
                                WHEN ld_nn =>           -- (nnnn).w/l=>
2743
                                        set(get_ea_now) <='1';
2744
                                        setnextpass <= '1';
2745
                                        set(addrlong) <= '1';
2746
 
2747
                                WHEN st_nn =>           -- =>(nnnn).w/l
2748
                                        setstate <= "11";
2749
                                        set(addrlong) <= '1';
2750
                                        next_micro_state <= nop;
2751
 
2752
                                WHEN ld_dAn1 =>         -- d(An)=>, --d(PC)=>
2753
                                        set(get_ea_now) <='1';
2754
                                        setdisp <= '1';         --word
2755
                                        setnextpass <= '1';
2756
 
2757
                                WHEN ld_AnXn1 =>                -- d(An,Xn)=>, --d(PC,Xn)=>
2758
                                        IF brief(8)='0' OR extAddr_Mode=0 OR (cpu(1)='0' AND extAddr_Mode=2) THEN
2759
                                                setdisp <= '1';         --byte  
2760
                                                setdispbyte <= '1';
2761
                                                setstate <= "01";
2762
                                                set(briefext) <= '1';
2763
                                                next_micro_state <= ld_AnXn2;
2764
                                        ELSE
2765
                                                IF brief(7)='1'THEN             --suppress Base
2766
                                                        set_suppress_base <= '1';
2767
                                                ELSIF exec(dispouter)='1' THEN
2768
                                                        set(dispouter) <= '1';
2769
                                                END IF;
2770
                                                IF brief(5)='0' THEN --NULL Base Displacement
2771
                                                        setstate <= "01";
2772
                                                ELSE  --WORD Base Displacement
2773
                                                        IF brief(4)='1' THEN
2774
                                                                set(longaktion) <= '1'; --LONG Base Displacement
2775
                                                        END IF;
2776
                                                END IF;
2777
                                                next_micro_state <= ld_229_1;
2778
                                        END IF;
2779
 
2780
                                WHEN ld_AnXn2 =>
2781
                                        set(get_ea_now) <='1';
2782
                                        setdisp <= '1';         --brief
2783
                                        setnextpass <= '1';
2784
 
2785
-------------------------------------------------------------------------------------                                   
2786
 
2787
                                WHEN ld_229_1 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2788
                                        IF brief(5)='1' THEN    --Base Displacement
2789
                                                setdisp <= '1';         --add last_data_read
2790
                                        END IF;
2791
                                        IF brief(6)='0' AND brief(2)='0' THEN --Preindex or Index
2792
                                                set(briefext) <= '1';
2793
                                                setstate <= "01";
2794
                                                IF brief(1 downto 0)="00" THEN
2795
                                                        next_micro_state <= ld_AnXn2;
2796
                                                ELSE
2797
                                                        next_micro_state <= ld_229_2;
2798
                                                END IF;
2799
                                        ELSE
2800
                                                IF brief(1 downto 0)="00" THEN
2801
                                                        set(get_ea_now) <='1';
2802
                                                        setnextpass <= '1';
2803
                                                ELSE
2804
                                                        setstate <= "10";
2805
                                                        set(longaktion) <= '1';
2806
                                                        next_micro_state <= ld_229_3;
2807
                                                END IF;
2808
                                        END IF;
2809
 
2810
                                WHEN ld_229_2 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2811
                                        setdisp <= '1';         -- add Index
2812
                                        setstate <= "10";
2813
                                        set(longaktion) <= '1';
2814
                                        next_micro_state <= ld_229_3;
2815
 
2816
                                WHEN ld_229_3 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2817
                                        set_suppress_base <= '1';
2818
                                        set(dispouter) <= '1';
2819
                                        IF brief(1)='0' THEN --NULL Outer Displacement
2820
                                                setstate <= "01";
2821
                                        ELSE  --WORD Outer Displacement
2822
                                                IF brief(0)='1' THEN
2823
                                                        set(longaktion) <= '1'; --LONG Outer Displacement
2824
                                                END IF;
2825
                                        END IF;
2826
                                        next_micro_state <= ld_229_4;
2827
 
2828
                                WHEN ld_229_4 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2829
                                        IF brief(1)='1' THEN  -- Outer Displacement
2830
                                                setdisp <= '1';   --add last_data_read
2831
                                        END IF;
2832
                                        IF brief(6)='0' AND brief(2)='1' THEN --Postindex
2833
                                                set(briefext) <= '1';
2834
                                                setstate <= "01";
2835
                                                next_micro_state <= ld_AnXn2;
2836
                                        ELSE
2837
                                                set(get_ea_now) <='1';
2838
                                                setnextpass <= '1';
2839
                                        END IF;
2840
 
2841
----------------------------------------------------------------------------------------                                
2842
                                WHEN st_dAn1 =>         -- =>d(An)
2843
                                        setstate <= "11";
2844
                                        setdisp <= '1';         --word
2845
                                        next_micro_state <= nop;
2846
 
2847
                                WHEN st_AnXn1 =>                -- =>d(An,Xn)
2848
                                        IF brief(8)='0' OR extAddr_Mode=0 OR (cpu(1)='0' AND extAddr_Mode=2) THEN
2849
                                                setdisp <= '1';         --byte  
2850
                                                setdispbyte <= '1';
2851
                                                setstate <= "01";
2852
                                                set(briefext) <= '1';
2853
                                                next_micro_state <= st_AnXn2;
2854
                                        ELSE
2855
                                                IF brief(7)='1'THEN             --suppress Base
2856
                                                        set_suppress_base <= '1';
2857
--                                              ELSIF exec(dispouter)='1' THEN
2858
--                                                      set(dispouter) <= '1';
2859
                                                END IF;
2860
                                                IF brief(5)='0' THEN --NULL Base Displacement
2861
                                                        setstate <= "01";
2862
                                                ELSE  --WORD Base Displacement
2863
                                                        IF brief(4)='1' THEN
2864
                                                                set(longaktion) <= '1'; --LONG Base Displacement
2865
                                                        END IF;
2866
                                                END IF;
2867
                                                next_micro_state <= st_229_1;
2868
                                        END IF;
2869
 
2870
                                WHEN st_AnXn2 =>
2871
                                        setstate <= "11";
2872
                                        setdisp <= '1';         --brief 
2873
                                        next_micro_state <= nop;
2874
 
2875
-------------------------------------------------------------------------------------                                   
2876
 
2877
                                WHEN st_229_1 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2878
                                        IF brief(5)='1' THEN    --Base Displacement
2879
                                                setdisp <= '1';         --add last_data_read
2880
                                        END IF;
2881
                                        IF brief(6)='0' AND brief(2)='0' THEN --Preindex or Index
2882
                                                set(briefext) <= '1';
2883
                                                setstate <= "01";
2884
                                                IF brief(1 downto 0)="00" THEN
2885
                                                        next_micro_state <= st_AnXn2;
2886
                                                ELSE
2887
                                                        next_micro_state <= st_229_2;
2888
                                                END IF;
2889
                                        ELSE
2890
                                                IF brief(1 downto 0)="00" THEN
2891
                                                        setstate <= "11";
2892
                                                        next_micro_state <= nop;
2893
                                                ELSE
2894
                                                        set(hold_dwr) <= '1';
2895
                                                        setstate <= "10";
2896
                                                        set(longaktion) <= '1';
2897
                                                        next_micro_state <= st_229_3;
2898
                                                END IF;
2899
                                        END IF;
2900
 
2901
                                WHEN st_229_2 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2902
                                        setdisp <= '1';         -- add Index
2903
                                        set(hold_dwr) <= '1';
2904
                                        setstate <= "10";
2905
                                        set(longaktion) <= '1';
2906
                                        next_micro_state <= st_229_3;
2907
 
2908
                                WHEN st_229_3 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2909
                                        set(hold_dwr) <= '1';
2910
                                        set_suppress_base <= '1';
2911
                                        set(dispouter) <= '1';
2912
                                        IF brief(1)='0' THEN --NULL Outer Displacement
2913
                                                setstate <= "01";
2914
                                        ELSE  --WORD Outer Displacement
2915
                                                IF brief(0)='1' THEN
2916
                                                        set(longaktion) <= '1'; --LONG Outer Displacement
2917
                                                END IF;
2918
                                        END IF;
2919
                                        next_micro_state <= st_229_4;
2920
 
2921
                                WHEN st_229_4 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2922
                                        set(hold_dwr) <= '1';
2923
                                        IF brief(1)='1' THEN  -- Outer Displacement
2924
                                                setdisp <= '1';   --add last_data_read
2925
                                        END IF;
2926
                                        IF brief(6)='0' AND brief(2)='1' THEN --Postindex
2927
                                                set(briefext) <= '1';
2928
                                                setstate <= "01";
2929
                                                next_micro_state <= st_AnXn2;
2930
                                        ELSE
2931
                                                setstate <= "11";
2932
                                                next_micro_state <= nop;
2933
                                        END IF;
2934
 
2935
----------------------------------------------------------------------------------------                                
2936
                                WHEN bra1 =>            --bra
2937
                                        IF exe_condition='1' THEN
2938
                                                TG68_PC_brw <= '1';     --pc+0000
2939
                                                next_micro_state <= nop;
2940
                                                skipFetch <= '1';
2941
                                        END IF;
2942
 
2943
                                WHEN bsr1 =>            --bsr short
2944
                                        TG68_PC_brw <= '1';
2945
                                        next_micro_state <= nop;
2946
 
2947
                                WHEN bsr2 =>            --bsr
2948
                                        IF long_start='0' THEN
2949
                                                TG68_PC_brw <= '1';
2950
                                        END IF;
2951
                                        skipFetch <= '1';
2952
                                        set(longaktion) <= '1';
2953
                                        writePC <= '1';
2954
                                        setstate <= "11";
2955
                                        next_micro_state <= nopnop;
2956
                                        setstackaddr <='1';
2957
                                WHEN nopnop =>          --bsr
2958
                                        next_micro_state <= nop;
2959
 
2960
                                WHEN dbcc1 =>           --dbcc
2961
                                        IF exe_condition='0' THEN
2962
                                                Regwrena_now <= '1';
2963
                                                IF c_out(1)='1' THEN
2964
                                                        skipFetch <= '1';
2965
                                                        next_micro_state <= nop;
2966
                                                        TG68_PC_brw <= '1';
2967
                                                END IF;
2968
                                        END IF;
2969
 
2970
                                WHEN movem1 =>          --movem
2971
                                        IF last_data_read(15 downto 0)/=X"0000" THEN
2972
                                                setstate <="01";
2973
                                                IF opcode(5 downto 3)="100" THEN
2974
                                                        set(mem_addsub) <= '1';
2975
                                                END IF;
2976
                                                next_micro_state <= movem2;
2977
                                        END IF;
2978
                                WHEN movem2 =>          --movem
2979
                                        IF movem_run='0' THEN
2980
                                                setstate <="01";
2981
                                        ELSE
2982
                                                set(movem_action) <= '1';
2983
                                                set(mem_addsub) <= '1';
2984
                                                next_micro_state <= movem2;
2985
                                                IF opcode(10)='0' THEN
2986
                                                        setstate <="11";
2987
                                                        set(write_reg) <= '1';
2988
                                                ELSE
2989
                                                        setstate <="10";
2990
                                                END IF;
2991
                                        END IF;
2992
 
2993
                                WHEN andi =>            --andi
2994
                                        IF opcode(5 downto 4)/="00" THEN
2995
                                                setnextpass <= '1';
2996
                                        END IF;
2997
 
2998
                                WHEN pack1 =>           -- pack -(Ax),-(Ay)
2999 6 tobiflex
                                        IF opcode(2 downto 0)="111" THEN
3000
                                                set(use_SP) <= '1';
3001
                                        END IF;
3002 2 tobiflex
                                        set(hold_ea_data) <= '1';
3003
                                        set(update_ld) <= '1';
3004
                                        setstate <= "10";
3005
                                        set(presub) <= '1';
3006
                                        next_micro_state <= pack2;
3007
                                        dest_areg <= '1';
3008
                                WHEN pack2 =>
3009 6 tobiflex
                                        IF opcode(11 downto 9)="111" THEN
3010
                                                set(use_SP) <= '1';
3011
                                        END IF;
3012 2 tobiflex
                                        set(hold_ea_data) <= '1';
3013
                                        set_direct_data <= '1';
3014
                                        IF opcode(7 downto 6) = "01" THEN       --pack
3015
                                                datatype <= "00";               --Byte
3016
                                        ELSE                                                            --unpk
3017
                                                datatype <= "01";               --Word
3018
                                        END IF;
3019
                                        set(presub) <= '1';
3020
                                        dest_hbits <= '1';
3021
                                        dest_areg <= '1';
3022
                                        setstate <= "10";
3023
                                        next_micro_state <= pack3;
3024
                                WHEN pack3 =>
3025
                                        skipFetch <= '1';
3026
 
3027
                                WHEN op_AxAy =>         -- op -(Ax),-(Ay)
3028
                                        IF opcode(11 downto 9)="111" THEN
3029
                                                set(use_SP) <= '1';
3030
                                        END IF;
3031
                                        set_direct_data <= '1';
3032
                                        set(presub) <= '1';
3033
                                        dest_hbits <= '1';
3034
                                        dest_areg <= '1';
3035
                                        setstate <= "10";
3036
 
3037
                                WHEN cmpm =>            -- cmpm (Ay)+,(Ax)+
3038
                                        IF opcode(11 downto 9)="111" THEN
3039
                                                set(use_SP) <= '1';
3040
                                        END IF;
3041
                                        set_direct_data <= '1';
3042
                                        set(postadd) <= '1';
3043
                                        dest_hbits <= '1';
3044
                                        dest_areg <= '1';
3045
                                        setstate <= "10";
3046
 
3047
                                WHEN link1 =>           -- link
3048
                                        setstate <="11";
3049
                                        source_areg <= '1';
3050
                                        set(opcMOVE) <= '1';
3051
                                        set(Regwrena) <= '1';
3052
                                        next_micro_state <= link2;
3053
                                WHEN link2 =>           -- link
3054
                                        setstackaddr <='1';
3055
                                        set(ea_data_OP2) <= '1';
3056
 
3057
                                WHEN unlink1 =>         -- unlink
3058
                                        setstate <="10";
3059
                                        setstackaddr <='1';
3060
                                        set(postadd) <= '1';
3061
                                        next_micro_state <= unlink2;
3062
                                WHEN unlink2 =>         -- unlink
3063
                                        set(ea_data_OP2) <= '1';
3064
 
3065
                                WHEN trap0 =>           -- TRAP
3066
                                        set(presub) <= '1';
3067
                                        setstackaddr <='1';
3068
                                        setstate <= "11";
3069
                                        IF VBR_Stackframe=1 OR (cpu(0)='1' AND VBR_Stackframe=2) THEN    --68010
3070
                                                set(writePC_add) <= '1';
3071
                                                datatype <= "01";
3072
--                                              set_datatype <= "10";
3073
                                                next_micro_state <= trap1;
3074
                                        ELSE
3075
                                                IF trap_interrupt='1' OR trap_trace='1' OR trap_berr='1' THEN
3076
                                                        writePC <= '1';
3077
                                                END IF;
3078
                                                datatype <= "10";
3079
                                                next_micro_state <= trap2;
3080
                                        END IF;
3081
                                WHEN trap1 =>           -- TRAP
3082
                                        IF trap_interrupt='1' OR trap_trace='1' THEN
3083
                                                writePC <= '1';
3084
                                        END IF;
3085
                                        set(presub) <= '1';
3086
                                        setstackaddr <='1';
3087
                                        setstate <= "11";
3088
                                        datatype <= "10";
3089
                                        next_micro_state <= trap2;
3090
                                WHEN trap2 =>           -- TRAP
3091
                                        set(presub) <= '1';
3092
                                        setstackaddr <='1';
3093
                                        setstate <= "11";
3094
                                        datatype <= "01";
3095
                                        writeSR <= '1';
3096
                                        IF trap_berr='1' THEN
3097
                                                next_micro_state <= trap4;
3098
                                        ELSE
3099
                                                next_micro_state <= trap3;
3100
                                        END IF;
3101
                                WHEN trap3 =>           -- TRAP
3102
                                        set_vectoraddr <= '1';
3103
                                        datatype <= "10";
3104
                                        set(direct_delta) <= '1';
3105
                                        set(directPC) <= '1';
3106
                                        setstate <= "10";
3107
                                        next_micro_state <= nopnop;
3108
 
3109
                                WHEN trap4 =>           -- TRAP
3110
                                        set(presub) <= '1';
3111
                                        setstackaddr <='1';
3112
                                        setstate <= "11";
3113
                                        datatype <= "01";
3114
                                        writeSR <= '1';
3115
                                        next_micro_state <= trap5;
3116
                                WHEN trap5 =>           -- TRAP
3117
                                        set(presub) <= '1';
3118
                                        setstackaddr <='1';
3119
                                        setstate <= "11";
3120
                                        datatype <= "10";
3121
                                        writeSR <= '1';
3122
                                        next_micro_state <= trap6;
3123
                                WHEN trap6 =>           -- TRAP
3124
                                        set(presub) <= '1';
3125
                                        setstackaddr <='1';
3126
                                        setstate <= "11";
3127
                                        datatype <= "01";
3128
                                        writeSR <= '1';
3129
                                        next_micro_state <= trap3;
3130
 
3131
                                WHEN rte1 =>            -- RTE
3132
                                        datatype <= "10";
3133
                                        setstate <= "10";
3134
                                        set(postadd) <= '1';
3135
                                        setstackaddr <= '1';
3136 4 tobiflex
                                        set(directPC) <= '1';
3137
                                        IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) OR opcode(2)='1' THEN     --opcode(2)='1' => opcode is RTR
3138 2 tobiflex
                                                set(update_FC) <= '1';
3139
                                                set(direct_delta) <= '1';
3140
                                        END IF;
3141
                                        next_micro_state <= rte2;
3142
                                WHEN rte2 =>            -- RTE
3143
                                        datatype <= "01";
3144
                                        set(update_FC) <= '1';
3145 4 tobiflex
                                        IF (VBR_Stackframe=1 OR (cpu(0)='1' AND VBR_Stackframe=2)) AND opcode(2)='0' THEN
3146 2 tobiflex
                                                setstate <= "10";
3147
                                                set(postadd) <= '1';
3148
                                                setstackaddr <= '1';
3149
                                                next_micro_state <= rte3;
3150
                                        ELSE
3151
                                                next_micro_state <= nop;
3152
                                        END IF;
3153
                                WHEN rte3 =>            -- RTE
3154
                                        next_micro_state <= nop;
3155
--                                      set(update_FC) <= '1';
3156
 
3157
 
3158
                                WHEN rtd1 =>            -- RTD
3159
                                        next_micro_state <= rtd2;
3160
                                WHEN rtd2 =>            -- RTD
3161
                                        setstackaddr <= '1';
3162
                                        set(Regwrena) <= '1';
3163
 
3164
                                WHEN movec1 =>          -- MOVEC
3165
                                        set(briefext) <= '1';
3166
                                        set_writePCbig <='1';
3167
                                        IF (brief(11 downto 0)=X"000" OR brief(11 downto 0)=X"001" OR brief(11 downto 0)=X"800" OR brief(11 downto 0)=X"801") OR
3168
                                           (cpu(1)='1' AND (brief(11 downto 0)=X"002" OR brief(11 downto 0)=X"802" OR brief(11 downto 0)=X"803" OR brief(11 downto 0)=X"804")) THEN
3169
                                                IF opcode(0)='0' THEN
3170
                                                        set(Regwrena) <= '1';
3171
                                                END IF;
3172
--                                      ELSIF brief(11 downto 0)=X"800"OR brief(11 downto 0)=X"001" OR brief(11 downto 0)=X"000" THEN
3173
--                                              trap_addr_error <= '1';
3174
--                                              trapmake <= '1';
3175
                                        ELSE
3176
                                                trap_illegal <= '1';
3177
                                                trapmake <= '1';
3178
                                        END IF;
3179
 
3180
                                WHEN movep1 =>          -- MOVEP d(An)
3181
                                        setdisp <= '1';
3182
                                        set(mem_addsub) <= '1';
3183
                                        set(mem_byte) <= '1';
3184
                                        set(OP1addr) <= '1';
3185
                                        IF opcode(6)='1' THEN
3186
                                                set(movepl) <= '1';
3187
                                        END IF;
3188
                                        IF opcode(7)='0' THEN
3189
                                                setstate <= "10";
3190
                                        ELSE
3191
                                                setstate <= "11";
3192
                                        END IF;
3193
                                        next_micro_state <= movep2;
3194
                                WHEN movep2 =>
3195
                                        IF opcode(6)='1' THEN
3196
                                                set(mem_addsub) <= '1';
3197
                                            set(OP1addr) <= '1';
3198
                                        END IF;
3199
                                        IF opcode(7)='0' THEN
3200
                                                setstate <= "10";
3201
                                        ELSE
3202
                                                setstate <= "11";
3203
                                        END IF;
3204
                                        next_micro_state <= movep3;
3205
                                WHEN movep3 =>
3206
                                        IF opcode(6)='1' THEN
3207
                                                set(mem_addsub) <= '1';
3208
                                            set(OP1addr) <= '1';
3209
                                                set(mem_byte) <= '1';
3210
                                                IF opcode(7)='0' THEN
3211
                                                        setstate <= "10";
3212
                                                ELSE
3213
                                                        setstate <= "11";
3214
                                                END IF;
3215
                                                next_micro_state <= movep4;
3216
                                        ELSE
3217
                                                datatype <= "01";               --Word
3218
                                        END IF;
3219
                                WHEN movep4 =>
3220
                                        IF opcode(7)='0' THEN
3221
                                                setstate <= "10";
3222
                                        ELSE
3223
                                                setstate <= "11";
3224
                                        END IF;
3225
                                        next_micro_state <= movep5;
3226
                                WHEN movep5 =>
3227
                                        datatype <= "10";               --Long
3228
 
3229
                                WHEN mul1       =>              -- mulu
3230
                                        IF opcode(15)='1' OR MUL_Mode=0 THEN
3231
                                                set_rot_cnt <= "001110";
3232
                                        ELSE
3233
                                                set_rot_cnt <= "011110";
3234
                                        END IF;
3235
                                        setstate <="01";
3236
                                        next_micro_state <= mul2;
3237
                                WHEN mul2       =>              -- mulu
3238
                                        setstate <="01";
3239
                                        IF rot_cnt="00001" THEN
3240
                                                next_micro_state <= mul_end1;
3241
                                        ELSE
3242
                                                next_micro_state <= mul2;
3243
                                        END IF;
3244
                                WHEN mul_end1   =>              -- mulu
3245
                                        datatype <= "10";
3246
                                        set(opcMULU) <= '1';
3247
                                        IF opcode(15)='0' AND (MUL_Mode=1 OR MUL_Mode=2) THEN
3248
                                                dest_2ndHbits <= '1';
3249
--                                              source_2ndLbits <= '1';--???
3250
                                                set(write_lowlong) <= '1';
3251
                                                IF sndOPC(10)='1' THEN
3252
                                                        setstate <="01";
3253
                                                        next_micro_state <= mul_end2;
3254
                                                END IF;
3255
                                                set(Regwrena) <= '1';
3256
                                        END IF;
3257
                                        datatype <= "10";
3258
                                WHEN mul_end2   =>              -- divu
3259
                                        set(write_reminder) <= '1';
3260
                                        set(Regwrena) <= '1';
3261
                                        set(opcMULU) <= '1';
3262
 
3263
                                WHEN div1       =>              -- divu
3264
                                        setstate <="01";
3265
                                        next_micro_state <= div2;
3266
                                WHEN div2       =>              -- divu
3267
                                        IF (OP2out(31 downto 16)=x"0000" OR opcode(15)='1' OR DIV_Mode=0) AND OP2out(15 downto 0)=x"0000" THEN            --div zero
3268
                                                set_Z_error <= '1';
3269
                                        ELSE
3270
                                                next_micro_state <= div3;
3271
                                        END IF;
3272
                                        set(ld_rot_cnt) <= '1';
3273
                                        setstate <="01";
3274
                                WHEN div3       =>              -- divu
3275
                                        IF opcode(15)='1' OR DIV_Mode=0 THEN
3276
                                                set_rot_cnt <= "001101";
3277
                                        ELSE
3278
                                                set_rot_cnt <= "011101";
3279
                                        END IF;
3280
                                        setstate <="01";
3281
                                        next_micro_state <= div4;
3282
                                WHEN div4       =>              -- divu
3283
                                        setstate <="01";
3284
                                        IF rot_cnt="00001" THEN
3285
                                                next_micro_state <= div_end1;
3286
                                        ELSE
3287
                                                next_micro_state <= div4;
3288
                                        END IF;
3289
                                WHEN div_end1   =>              -- divu
3290
                                        IF opcode(15)='0' AND (DIV_Mode=1 OR DIV_Mode=2) THEN
3291
                                                set(write_reminder) <= '1';
3292
                                                next_micro_state <= div_end2;
3293
                                                setstate <="01";
3294
                                        END IF;
3295
                                        set(opcDIVU) <= '1';
3296
                                        datatype <= "10";
3297
                                WHEN div_end2   =>              -- divu
3298
                                        dest_2ndHbits <= '1';
3299
                                        source_2ndLbits <= '1';--???
3300
                                        set(opcDIVU) <= '1';
3301
 
3302
                                WHEN rota1      =>
3303
                                        IF OP2out(5 downto 0)/="000000" THEN
3304
                                                set_rot_cnt <= OP2out(5 downto 0);
3305
                                        ELSE
3306
                                                set_exec(rot_nop) <= '1';
3307
                                        END IF;
3308
 
3309
                                WHEN bf1 =>
3310
                                        setstate <="10";
3311
 
3312
                                WHEN OTHERS => NULL;
3313
                        END CASE;
3314
        END PROCESS;
3315
 
3316
-----------------------------------------------------------------------------
3317
-- MOVEC
3318
-----------------------------------------------------------------------------
3319
  process (clk, VBR, CACR, brief)
3320
  begin
3321
        -- all other hexa codes should give illegal isntruction exception
3322
        if rising_edge(clk) then
3323
          if Reset = '1' then
3324
                VBR <= (others => '0');
3325
                CACR <= (others => '0');
3326
          elsif clkena_lw = '1' and exec(movec_wr) = '1' then
3327
                case brief(11 downto 0) is
3328
                  when X"000" => NULL; -- SFC -- 68010+
3329
                  when X"001" => NULL; -- DFC -- 68010+
3330
                  when X"002" => CACR <= reg_QA(3 downto 0); -- 68020+
3331
                  when X"800" => NULL; -- USP -- 68010+
3332
                  when X"801" => VBR <= reg_QA; -- 68010+
3333
                  when X"802" => NULL; -- CAAR -- 68020+
3334
                  when X"803" => NULL; -- MSP -- 68020+
3335
                  when X"804" => NULL; -- isP -- 68020+
3336
                  when others => NULL;
3337
                end case;
3338
          end if;
3339
        end if;
3340
 
3341
        movec_data <= (others => '0');
3342
        case brief(11 downto 0) is
3343
          when X"002" => movec_data <= "0000000000000000000000000000" & (CACR AND "0011");
3344
 
3345
          when X"801" => --if VBR_Stackframe=1 or (cpu(0)='1' and VBR_Stackframe=2) then
3346
                movec_data <= VBR;
3347
                --end if;
3348
          when others => NULL;
3349
        end case;
3350
  end process;
3351
 
3352
  CACR_out <= CACR;
3353
  VBR_out <= VBR;
3354
-----------------------------------------------------------------------------
3355
-- Conditions
3356
-----------------------------------------------------------------------------
3357
PROCESS (exe_opcode, Flags)
3358
        BEGIN
3359
                CASE exe_opcode(11 downto 8) IS
3360
                        WHEN X"0" => exe_condition <= '1';
3361
                        WHEN X"1" => exe_condition <= '0';
3362
                        WHEN X"2" => exe_condition <=  NOT Flags(0) AND NOT Flags(2);
3363
                        WHEN X"3" => exe_condition <= Flags(0) OR Flags(2);
3364
                        WHEN X"4" => exe_condition <= NOT Flags(0);
3365
                        WHEN X"5" => exe_condition <= Flags(0);
3366
                        WHEN X"6" => exe_condition <= NOT Flags(2);
3367
                        WHEN X"7" => exe_condition <= Flags(2);
3368
                        WHEN X"8" => exe_condition <= NOT Flags(1);
3369
                        WHEN X"9" => exe_condition <= Flags(1);
3370
                        WHEN X"a" => exe_condition <= NOT Flags(3);
3371
                        WHEN X"b" => exe_condition <= Flags(3);
3372
                        WHEN X"c" => exe_condition <= (Flags(3) AND Flags(1)) OR (NOT Flags(3) AND NOT Flags(1));
3373
                        WHEN X"d" => exe_condition <= (Flags(3) AND NOT Flags(1)) OR (NOT Flags(3) AND Flags(1));
3374
                        WHEN X"e" => exe_condition <= (Flags(3) AND Flags(1) AND NOT Flags(2)) OR (NOT Flags(3) AND NOT Flags(1) AND NOT Flags(2));
3375
                        WHEN X"f" => exe_condition <= (Flags(3) AND NOT Flags(1)) OR (NOT Flags(3) AND Flags(1)) OR Flags(2);
3376
                        WHEN OTHERS => NULL;
3377
                END CASE;
3378
        END PROCESS;
3379
 
3380
-----------------------------------------------------------------------------
3381
-- Movem
3382
-----------------------------------------------------------------------------
3383
PROCESS (clk)
3384
        BEGIN
3385
                IF rising_edge(clk) THEN
3386
                        IF clkena_lw='1' THEN
3387
                                movem_actiond <= exec(movem_action);
3388
                                IF decodeOPC='1' THEN
3389
                                        sndOPC <= data_read(15 downto 0);
3390
                                ELSIF exec(movem_action)='1' OR set(movem_action) ='1' THEN
3391
                                        CASE movem_regaddr IS
3392
                                                WHEN "0000" => sndOPC(0)  <= '0';
3393
                                                WHEN "0001" => sndOPC(1)  <= '0';
3394
                                                WHEN "0010" => sndOPC(2)  <= '0';
3395
                                                WHEN "0011" => sndOPC(3)  <= '0';
3396
                                                WHEN "0100" => sndOPC(4)  <= '0';
3397
                                                WHEN "0101" => sndOPC(5)  <= '0';
3398
                                                WHEN "0110" => sndOPC(6)  <= '0';
3399
                                                WHEN "0111" => sndOPC(7)  <= '0';
3400
                                                WHEN "1000" => sndOPC(8)  <= '0';
3401
                                                WHEN "1001" => sndOPC(9)  <= '0';
3402
                                                WHEN "1010" => sndOPC(10) <= '0';
3403
                                                WHEN "1011" => sndOPC(11) <= '0';
3404
                                                WHEN "1100" => sndOPC(12) <= '0';
3405
                                                WHEN "1101" => sndOPC(13) <= '0';
3406
                                                WHEN "1110" => sndOPC(14) <= '0';
3407
                                                WHEN "1111" => sndOPC(15) <= '0';
3408
                                                WHEN OTHERS => NULL;
3409
                                        END CASE;
3410
                                END IF;
3411
                        END IF;
3412
                END IF;
3413
        END PROCESS;
3414
 
3415
PROCESS (sndOPC, movem_mux)
3416
        BEGIN
3417
                movem_regaddr <="0000";
3418
                movem_run <= '1';
3419
                IF sndOPC(3 downto 0)="0000" THEN
3420
                        IF sndOPC(7 downto 4)="0000" THEN
3421
                                movem_regaddr(3) <= '1';
3422
                                IF sndOPC(11 downto 8)="0000" THEN
3423
                                        IF sndOPC(15 downto 12)="0000" THEN
3424
                                                movem_run <= '0';
3425
                                        END IF;
3426
                                        movem_regaddr(2) <= '1';
3427
                                        movem_mux <= sndOPC(15 downto 12);
3428
                                ELSE
3429
                                        movem_mux <= sndOPC(11 downto 8);
3430
                                END IF;
3431
                        ELSE
3432
                                movem_mux <= sndOPC(7 downto 4);
3433
                                movem_regaddr(2) <= '1';
3434
                        END IF;
3435
                ELSE
3436
                        movem_mux <= sndOPC(3 downto 0);
3437
                END IF;
3438
                IF movem_mux(1 downto 0)="00" THEN
3439
                        movem_regaddr(1) <= '1';
3440
                        IF movem_mux(2)='0' THEN
3441
                                movem_regaddr(0) <= '1';
3442
                        END IF;
3443
                ELSE
3444
                        IF movem_mux(0)='0' THEN
3445
                                movem_regaddr(0) <= '1';
3446
                        END IF;
3447
                END  IF;
3448
        END PROCESS;
3449
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.