OpenCores
URL https://opencores.org/ocsvn/tg68kc/tg68kc/trunk

Subversion Repositories tg68kc

[/] [tg68kc/] [trunk/] [TG68KdotC_Kernel.vhd] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobiflex
------------------------------------------------------------------------------
2
------------------------------------------------------------------------------
3
--                                                                          --
4 4 tobiflex
-- Copyright (c) 2009-2019 Tobias Gubener                                   -- 
5
-- Patches by MikeJ, Till Harbaum, Rok Krajnk, ...                          --
6 2 tobiflex
-- Subdesign fAMpIGA by TobiFlex                                            --
7
--                                                                          --
8
-- This source file is free software: you can redistribute it and/or modify --
9
-- it under the terms of the GNU Lesser General Public License as published --
10
-- by the Free Software Foundation, either version 3 of the License, or     --
11
-- (at your option) any later version.                                      --
12
--                                                                          --
13
-- This source file is distributed in the hope that it will be useful,      --
14
-- but WITHOUT ANY WARRANTY; without even the implied warranty of           --
15
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            --
16
-- GNU General Public License for more details.                             --
17
--                                                                          --
18
-- You should have received a copy of the GNU General Public License        --
19
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.    --
20
--                                                                          --
21
------------------------------------------------------------------------------
22
------------------------------------------------------------------------------
23
 
24 8 tobiflex
-- 03.11.2019 TG insert TrapV from TH 
25
-- 03.11.2019 TG bugfix MUL 64Bit 
26 7 tobiflex
-- 03.11.2019 TG rework barrel shifter - some other tweaks
27
-- 02.11.2019 TG bugfig N-Flag and Z-Flag for DIV
28 4 tobiflex
-- 30.10.2019 TG bugfix RTR in 68020-mode
29
-- 30.10.2019 TG bugfix BFINS again
30
-- 19.10.2019 TG insert some bugfixes from apolkosnik
31 2 tobiflex
-- 05.12.2018 TG insert RTD opcode
32
-- 03.12.2018 TG insert barrel shifter
33
-- 01.11.2017 TG bugfix V-Flag for ASL/ASR - thanks Peter Graf
34
-- 29.05.2017 TG decode 0x4AFB as illegal, needed for QL BKP - thanks Peter Graf
35
-- 21.05.2017 TG insert generic for hardware multiplier for MULU & MULS
36
-- 04.04.2017 TG change GPL to LGPL
37
-- 04.04.2017 TG BCD handling with all undefined behavior! 
38
-- 02.04.2017 TG bugfix Bitfield Opcodes 
39
-- 19.03.2017 TG insert PACK/UNPACK  
40
-- 19.03.2017 TG bugfix CMPI ...(PC) - thanks Till Harbaum
41
--     ???    MJ bugfix non_aligned movem access
42
-- add berr handling 10.03.2013 - needed for ATARI Core
43
 
44
-- bugfix session 07/08.Feb.2013
45
-- movem ,-(an)
46
-- movem (an)+,          - thanks  Gerhard Suttner
47
-- btst dn,#data         - thanks  Peter Graf
48
-- movep                 - thanks  Till Harbaum
49
-- IPL vector            - thanks  Till Harbaum
50
--  
51
 
52
-- optimize Register file
53
 
54
-- to do 68010:
55
-- (MOVEC)
56
-- BKPT
57
-- MOVES
58
--
59
-- to do 68020:
60
-- (CALLM)
61
-- (RETM)
62
 
63
-- CAS, CAS2
64
-- CHK2
65
-- CMP2
66
-- cpXXX Coprozessor stuff
67
-- TRAPcc
68
 
69
-- done 020:
70
-- PACK
71
-- UNPK
72
-- Bitfields
73
-- address modes
74
-- long bra
75
-- DIVS.L, DIVU.L
76
-- LINK long
77
-- MULS.L, MULU.L
78
-- extb.l
79
 
80
library ieee;
81
use ieee.std_logic_1164.all;
82
use ieee.std_logic_unsigned.all;
83
use work.TG68K_Pack.all;
84
 
85
entity TG68KdotC_Kernel is
86
        generic(
87 5 tobiflex
                SR_Read : integer:= 1;                          --0=>user,              1=>privileged,          2=>switchable with CPU(0)
88
                VBR_Stackframe : integer:= 1;           --0=>no,                        1=>yes/extended,        2=>switchable with CPU(0)
89
                extAddr_Mode : integer:= 1;             --0=>no,                        1=>yes,                         2=>switchable with CPU(1)
90
                MUL_Mode : integer := 1;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no MUL,  
91 8 tobiflex
                MUL_Hardware : integer := 0;             --0=>no,                        1=>yes,  
92 5 tobiflex
                DIV_Mode : integer := 1;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no DIV,  
93
                BarrelShifter : integer := 2;           --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
94
                BitField : integer := 1                         --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
95
--              SR_Read : integer:= 0;                          --0=>user,              1=>privileged,          2=>switchable with CPU(0)
96
--              VBR_Stackframe : integer:= 0;           --0=>no,                        1=>yes/extended,        2=>switchable with CPU(0)
97
--              extAddr_Mode : integer:= 0;             --0=>no,                        1=>yes,                         2=>switchable with CPU(1)
98
--              MUL_Mode : integer := 0;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no MUL,  
99
--              MUL_Hardware : integer := 1;            --0=>no,                        1=>yes,  
100
--              DIV_Mode : integer := 0;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no DIV,  
101
--              BarrelShifter : integer := 0;           --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
102
--              BitField : integer := 0                         --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
103 2 tobiflex
                );
104 5 tobiflex
        port(clk                                                : in std_logic;
105
                nReset                                  : in std_logic;                 --low active
106
                clkena_in                               : in std_logic:='1';
107
                data_in                                 : in std_logic_vector(15 downto 0);
108
                IPL                                             : in std_logic_vector(2 downto 0):="111";
109
                IPL_autovector                  : in std_logic:='0';
110
                berr                                            : in std_logic:='0';                                     -- only 68000 Stackpointer dummy
111
                CPU                                             : in std_logic_vector(1 downto 0):="00";  -- 00->68000  01->68010  11->68020(only some parts - yet)
112
                addr_out                                        : out std_logic_vector(31 downto 0);
113
                data_write                              : out std_logic_vector(15 downto 0);
114
                nWr                                             : out std_logic;
115
                nUDS                                            : out std_logic;
116
                nLDS                                            : out std_logic;
117
                busstate                                        : out std_logic_vector(1 downto 0);      -- 00-> fetch code 10->read data 11->write data 01->no memaccess
118
                nResetOut                               : out std_logic;
119
                FC                                                      : out std_logic_vector(2 downto 0);
120
                clr_berr                                        : out std_logic;
121
-- for debug
122
                skipFetch                               : out std_logic;
123
                regin_out                               : out std_logic_vector(31 downto 0);
124
                CACR_out                                        : out std_logic_vector( 3 downto 0);
125
                VBR_out                                 : out std_logic_vector(31 downto 0)
126 4 tobiflex
                );
127 2 tobiflex
end TG68KdotC_Kernel;
128
 
129
architecture logic of TG68KdotC_Kernel is
130
 
131
 
132 4 tobiflex
        signal syncReset                        : std_logic_vector(3 downto 0);
133
        signal Reset                            : std_logic;
134
        signal clkena_lw                        : std_logic;
135
        signal TG68_PC                          : std_logic_vector(31 downto 0);
136
        signal tmp_TG68_PC              : std_logic_vector(31 downto 0);
137
        signal TG68_PC_add              : std_logic_vector(31 downto 0);
138
        signal PC_dataa                 : std_logic_vector(31 downto 0);
139
        signal PC_datab                 : std_logic_vector(31 downto 0);
140
        signal memaddr                          : std_logic_vector(31 downto 0);
141
        signal state                            : std_logic_vector(1 downto 0);
142
        signal datatype                 : std_logic_vector(1 downto 0);
143
        signal set_datatype             : std_logic_vector(1 downto 0);
144
        signal exe_datatype             : std_logic_vector(1 downto 0);
145
        signal setstate                 : std_logic_vector(1 downto 0);
146 2 tobiflex
 
147 4 tobiflex
        signal opcode                           : std_logic_vector(15 downto 0);
148
        signal exe_opcode                       : std_logic_vector(15 downto 0);
149
        signal sndOPC                           : std_logic_vector(15 downto 0);
150 2 tobiflex
 
151 8 tobiflex
  signal exe_pc                 : std_logic_vector(31 downto 0);--TH
152
  signal last_opc_pc            : std_logic_vector(31 downto 0);--TH
153 4 tobiflex
        signal last_opc_read            : std_logic_vector(15 downto 0);
154
        signal registerin                       : std_logic_vector(31 downto 0);
155
        signal reg_QA                           : std_logic_vector(31 downto 0);
156
        signal reg_QB                           : std_logic_vector(31 downto 0);
157
        signal Wwrena,Lwrena            : bit;
158
        signal Bwrena                           : bit;
159
        signal Regwrena_now             : bit;
160 2 tobiflex
        signal rf_dest_addr             : std_logic_vector(3 downto 0);
161
        signal rf_source_addr   : std_logic_vector(3 downto 0);
162
        signal rf_source_addrd  : std_logic_vector(3 downto 0);
163
 
164 4 tobiflex
        signal regin                            : std_logic_vector(31 downto 0);
165
        type   regfile_t is array(0 to 15) of std_logic_vector(31 downto 0);
166
        signal regfile                          : regfile_t := (OTHERS => (OTHERS => '0')); -- mikej stops sim X issues;
167
        signal RDindex_A                        : integer range 0 to 15;
168
        signal RDindex_B                        : integer range 0 to 15;
169
        signal WR_AReg                          : std_logic;
170 2 tobiflex
 
171
 
172 4 tobiflex
        signal addr                                     : std_logic_vector(31 downto 0);
173
        signal memaddr_reg              : std_logic_vector(31 downto 0);
174
        signal memaddr_delta            : std_logic_vector(31 downto 0);
175
        signal use_base                 : bit;
176 2 tobiflex
 
177 4 tobiflex
        signal ea_data                          : std_logic_vector(31 downto 0);
178
        signal OP1out                           : std_logic_vector(31 downto 0);
179
        signal OP2out                           : std_logic_vector(31 downto 0);
180
        signal OP1outbrief              : std_logic_vector(15 downto 0);
181
        signal OP1in                            : std_logic_vector(31 downto 0);
182
        signal ALUout   : std_logic_vector(31 downto 0);
183
        signal data_write_tmp   : std_logic_vector(31 downto 0);
184
        signal data_write_muxin : std_logic_vector(31 downto 0);
185
        signal data_write_mux   : std_logic_vector(47 downto 0);
186
        signal nextpass                 : bit;
187
        signal setnextpass              : bit;
188
        signal setdispbyte              : bit;
189
        signal setdisp                          : bit;
190
        signal regdirectsource  :bit;           -- checken !!!
191
        signal addsub_q                 : std_logic_vector(31 downto 0);
192
        signal briefdata                        : std_logic_vector(31 downto 0);
193
--      signal c_in                             : std_logic_vector(3 downto 0);
194
        signal c_out                            : std_logic_vector(2 downto 0);
195 2 tobiflex
 
196 4 tobiflex
        signal mem_address              : std_logic_vector(31 downto 0);
197
        signal memaddr_a                        : std_logic_vector(31 downto 0);
198 2 tobiflex
 
199 4 tobiflex
        signal TG68_PC_brw              : bit;
200
        signal TG68_PC_word             : bit;
201
        signal getbrief                 : bit;
202
        signal brief                            : std_logic_vector(15 downto 0);
203
        signal dest_areg                        : std_logic;
204
        signal source_areg              : std_logic;
205
        signal data_is_source   : bit;
206
        signal store_in_tmp             : bit;
207
        signal write_back                       : bit;
208
        signal exec_write_back  : bit;
209
        signal setstackaddr             : bit;
210
        signal writePC                          : bit;
211
        signal writePCbig                       : bit;
212
        signal set_writePCbig   : bit;
213
        signal setopcode                        : bit;
214
        signal decodeOPC                        : bit;
215
        signal execOPC                          : bit;
216
        signal setexecOPC                       : bit;
217
        signal endOPC                           : bit;
218
        signal setendOPC                        : bit;
219
        signal Flags                            : std_logic_vector(7 downto 0);  -- ...XNZVC
220
        signal FlagsSR                          : std_logic_vector(7 downto 0);  -- T.S.0III
221
        signal SRin                                     : std_logic_vector(7 downto 0);
222
        signal exec_DIRECT              : bit;
223
        signal exec_tas                 : std_logic;
224
        signal set_exec_tas             : std_logic;
225 2 tobiflex
 
226 4 tobiflex
        signal exe_condition            : std_logic;
227
        signal ea_only                          : bit;
228
        signal source_lowbits   : bit;
229
        signal source_2ndHbits  : bit;
230
        signal source_2ndLbits  : bit;
231
        signal dest_2ndHbits            : bit;
232
        signal dest_hbits                       : bit;
233
        signal rot_bits                 : std_logic_vector(1 downto 0);
234
        signal set_rot_bits             : std_logic_vector(1 downto 0);
235
        signal rot_cnt                          : std_logic_vector(5 downto 0);
236
        signal set_rot_cnt              : std_logic_vector(5 downto 0);
237
        signal movem_actiond            : bit;
238
        signal movem_regaddr            : std_logic_vector(3 downto 0);
239
        signal movem_mux                        : std_logic_vector(3 downto 0);
240
        signal movem_presub             : bit;
241
        signal movem_run                        : bit;
242
        signal ea_calc_b                        : std_logic_vector(31 downto 0);
243
        signal set_direct_data  : bit;
244
        signal use_direct_data  : bit;
245
        signal direct_data              : bit;
246 2 tobiflex
 
247 4 tobiflex
        signal set_V_Flag                       : bit;
248
        signal set_vectoraddr   : bit;
249
        signal writeSR                          : bit;
250
        signal trap_berr                        : bit;
251
        signal trap_illegal             : bit;
252
        signal trap_addr_error  : bit;
253
        signal trap_priv                        : bit;
254
        signal trap_trace                       : bit;
255
        signal trap_1010                        : bit;
256
        signal trap_1111                        : bit;
257
        signal trap_trap                        : bit;
258
        signal trap_trapv                       : bit;
259
        signal trap_interrupt   : bit;
260
        signal trapmake                 : bit;
261
        signal trapd                            : bit;
262
        signal trap_SR                          : std_logic_vector(7 downto 0);
263
        signal make_trace                       : std_logic;
264
        signal make_berr                        : std_logic;
265 2 tobiflex
 
266 4 tobiflex
        signal set_stop                 : bit;
267
        signal stop                                     : bit;
268
        signal trap_vector              : std_logic_vector(31 downto 0);
269
        signal trap_vector_vbr  : std_logic_vector(31 downto 0);
270
        signal USP                                      : std_logic_vector(31 downto 0);
271
--      signal illegal_write_mode       : bit;
272
--      signal illegal_read_mode        : bit;
273
--      signal illegal_byteaddr         : bit;
274 2 tobiflex
 
275 4 tobiflex
        signal IPL_nr                           : std_logic_vector(2 downto 0);
276
        signal rIPL_nr                          : std_logic_vector(2 downto 0);
277
        signal IPL_vec                          : std_logic_vector(7 downto 0);
278
        signal interrupt                        : bit;
279
        signal setinterrupt             : bit;
280
        signal SVmode                           : std_logic;
281
        signal preSVmode                        : std_logic;
282
        signal Suppress_Base            : bit;
283
        signal set_Suppress_Base: bit;
284
        signal set_Z_error              : bit;
285
        signal Z_error                  : bit;
286
        signal ea_build_now             : bit;
287
        signal build_logical            : bit;
288
        signal build_bcd                        : bit;
289 2 tobiflex
 
290 4 tobiflex
        signal data_read                        : std_logic_vector(31 downto 0);
291
        signal bf_ext_in                        : std_logic_vector(7 downto 0);
292
        signal bf_ext_out                       : std_logic_vector(7 downto 0);
293
--      signal byte                                     : bit;
294
        signal long_start                       : bit;
295 2 tobiflex
        signal long_start_alu   : bit;
296 4 tobiflex
        signal non_aligned              : std_logic;
297
        signal long_done                        : bit;
298
        signal memmask                          : std_logic_vector(5 downto 0);
299
        signal set_memmask              : std_logic_vector(5 downto 0);
300
        signal memread                          : std_logic_vector(3 downto 0);
301
        signal wbmemmask                        : std_logic_vector(5 downto 0);
302
        signal memmaskmux                       : std_logic_vector(5 downto 0);
303
        signal oddout                           : std_logic;
304
        signal set_oddout                       : std_logic;
305
        signal PCbase                           : std_logic;
306
        signal set_PCbase                       : std_logic;
307 2 tobiflex
 
308 4 tobiflex
        signal last_data_read   : std_logic_vector(31 downto 0);
309
        signal last_data_in             : std_logic_vector(31 downto 0);
310 2 tobiflex
 
311 4 tobiflex
        signal bf_offset                        : std_logic_vector(5 downto 0);
312
        signal bf_width                 : std_logic_vector(5 downto 0);
313
        signal bf_bhits                 : std_logic_vector(5 downto 0);
314
        signal bf_shift                 : std_logic_vector(5 downto 0);
315
        signal alu_width                        : std_logic_vector(5 downto 0);
316
        signal alu_bf_shift             : std_logic_vector(5 downto 0);
317
        signal bf_loffset                       : std_logic_vector(5 downto 0);
318
        signal bf_full_offset   : std_logic_vector(31 downto 0);
319
        signal alu_bf_ffo_offset: std_logic_vector(31 downto 0);
320
        signal alu_bf_loffset   : std_logic_vector(5 downto 0);
321 2 tobiflex
 
322 4 tobiflex
        signal movec_data                       : std_logic_vector(31 downto 0);
323
        signal VBR                                      : std_logic_vector(31 downto 0);
324
        signal CACR                                     : std_logic_vector(3 downto 0);
325
        signal DFC                                      : std_logic_vector(2 downto 0);
326
        signal SFC                                      : std_logic_vector(2 downto 0);
327 2 tobiflex
 
328
 
329 4 tobiflex
        signal set                                      : bit_vector(lastOpcBit downto 0);
330
        signal set_exec                 : bit_vector(lastOpcBit downto 0);
331
        signal exec                                     : bit_vector(lastOpcBit downto 0);
332 2 tobiflex
 
333
        signal micro_state              : micro_states;
334
        signal next_micro_state : micro_states;
335
 
336
 
337
 
338
BEGIN
339
 
340
ALU: TG68K_ALU
341
        generic map(
342 4 tobiflex
                MUL_Mode => MUL_Mode,                           --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),              3=>no MUL,
343
                MUL_Hardware => MUL_Hardware,           --0=>no,                1=>yes,
344
                DIV_Mode => DIV_Mode,                           --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),              3=>no DIV,
345
                BarrelShifter => BarrelShifter  --0=>no,                1=>yes,         2=>switchable with CPU(1)  
346 2 tobiflex
                )
347 5 tobiflex
        port map(
348
                clk => clk,                                                             --: in std_logic;
349
                Reset => Reset,                                         --: in std_logic;
350
                clkena_lw => clkena_lw,                         --: in std_logic:='1';
351
                execOPC => execOPC,                                     --: in bit;
352
                decodeOPC => decodeOPC,                         --: in bit;
353
                exe_condition => exe_condition, --: in std_logic;
354
                exec_tas => exec_tas,                           --: in std_logic;
355
                long_start => long_start_alu,           --: in bit;
356
                non_aligned => non_aligned,
357
                movem_presub => movem_presub,           --: in bit;
358
                set_stop => set_stop,                           --: in bit;
359
                Z_error => Z_error,                                     --: in bit;
360 2 tobiflex
 
361 5 tobiflex
                rot_bits => rot_bits,                           --: in std_logic_vector(1 downto 0);
362
                exec => exec,                                                   --: in bit_vector(lastOpcBit downto 0);
363
                OP1out => OP1out,                                               --: in std_logic_vector(31 downto 0);
364
                OP2out => OP2out,                                               --: in std_logic_vector(31 downto 0);
365
                reg_QA => reg_QA,                                               --: in std_logic_vector(31 downto 0);
366
                reg_QB => reg_QB,                                               --: in std_logic_vector(31 downto 0);
367
                opcode => opcode,                                               --: in std_logic_vector(15 downto 0);
368
                exe_opcode => exe_opcode,                       --: in std_logic_vector(15 downto 0);
369
                exe_datatype => exe_datatype,           --: in std_logic_vector(1 downto 0);
370
                sndOPC => sndOPC,                                               --: in std_logic_vector(15 downto 0);
371
                last_data_read => last_data_read(15 downto 0),   --: in std_logic_vector(31 downto 0);
372
                data_read => data_read(15 downto 0),                             --: in std_logic_vector(31 downto 0);
373
                FlagsSR => FlagsSR,                                     --: in std_logic_vector(7 downto 0);
374
                micro_state => micro_state,             --: in micro_states;  
375
                bf_ext_in => bf_ext_in,
376
                bf_ext_out => bf_ext_out,
377
                bf_shift => alu_bf_shift,
378
                bf_width => alu_width,
379
                bf_ffo_offset => alu_bf_ffo_offset,
380
                bf_loffset => alu_bf_loffset(4 downto 0),
381
 
382
                set_V_Flag => set_V_Flag,                       --: buffer bit;
383
                Flags => Flags,                                         --: buffer std_logic_vector(8 downto 0);
384
                c_out => c_out,                                         --: buffer std_logic_vector(2 downto 0);
385
                addsub_q => addsub_q,                           --: buffer std_logic_vector(31 downto 0);
386
                ALUout => ALUout                                                --: buffer std_logic_vector(31 downto 0)
387
        );
388
 
389
        long_start_alu <= to_bit(NOT memmaskmux(3));
390
 
391
        process (memmaskmux)
392
        begin
393
                non_aligned <= '0';
394
                if (memmaskmux(5 downto 4) = "01") or (memmaskmux(5 downto 4) = "10") then
395
                        non_aligned <= '1';
396
                end if;
397
        end process;
398 2 tobiflex
-----------------------------------------------------------------------------
399
-- Bus control
400
-----------------------------------------------------------------------------
401 4 tobiflex
   regin_out <= regin;
402
 
403
 
404 2 tobiflex
        nWr <= '0' WHEN state="11" ELSE '1';
405
        busstate <= state;
406
        nResetOut <= '0' WHEN exec(opcRESET)='1' ELSE '1';
407
 
408 5 tobiflex
        -- does shift for byte access. note active low me
409
        -- should produce address error on 68000
410
        memmaskmux <= memmask when addr(0) = '1' else memmask(4 downto 0) & '1';
411 2 tobiflex
        nUDS <= memmaskmux(5);
412
        nLDS <= memmaskmux(4);
413
        clkena_lw <= '1' WHEN clkena_in='1' AND memmaskmux(3)='1' ELSE '0';
414
        clr_berr <= '1' WHEN setopcode='1' AND trap_berr='1' ELSE '0';
415
 
416
        PROCESS (clk, nReset)
417
        BEGIN
418
                IF nReset='0' THEN
419
                        syncReset <= "0000";
420
                        Reset <= '1';
421
                ELSIF rising_edge(clk) THEN
422
                        IF clkena_in='1' THEN
423
                                syncReset <= syncReset(2 downto 0)&'1';
424
                                Reset <= NOT syncReset(3);
425
                        END IF;
426
                END IF;
427
        END PROCESS;
428
 
429
PROCESS (clk, long_done, last_data_in, data_in, addr, long_start, memmaskmux, memread, memmask, data_read)
430
        BEGIN
431
                IF memmaskmux(4)='0' THEN
432
                        data_read <= last_data_in(15 downto 0)&data_in;
433
                ELSE
434
                        data_read <= last_data_in(23 downto 0)&data_in(15 downto 8);
435
                END IF;
436
                IF memread(0)='1' OR (memread(1 downto 0)="10" AND memmaskmux(4)='1')THEN
437
                        data_read(31 downto 16) <= (OTHERS=>data_read(15));
438
                END IF;
439
 
440
                IF rising_edge(clk) THEN
441
                        IF clkena_lw='1' AND state="10" THEN
442
                                IF memmaskmux(4)='0' THEN
443
                                        bf_ext_in <= last_data_in(23 downto 16);
444
                                ELSE
445
                                        bf_ext_in <= last_data_in(31 downto 24);
446
                                END IF;
447
                        END IF;
448
                        IF Reset='1' THEN
449
                                last_data_read <= (OTHERS => '0');
450
                        ELSIF clkena_in='1' THEN
451
                                IF state="00" OR exec(update_ld)='1' THEN
452
                                        last_data_read <= data_read;
453
                                        IF state(1)='0' AND memmask(1)='0' THEN
454
                                                last_data_read(31 downto 16) <= last_opc_read;
455
                                        ELSIF state(1)='0' OR memread(1)='1' THEN
456
                                                last_data_read(31 downto 16) <= (OTHERS=>data_in(15));
457
                                        END IF;
458
                                END IF;
459
                                last_data_in <= last_data_in(15 downto 0)&data_in(15 downto 0);
460
 
461
                        END IF;
462
                END IF;
463
                                long_start <= to_bit(NOT memmask(1));
464
                                long_done <= to_bit(NOT memread(1));
465
        END PROCESS;
466
 
467
PROCESS (long_start, reg_QB, data_write_tmp, exec, data_read, data_write_mux, memmaskmux, bf_ext_out,
468
                 data_write_muxin, memmask, oddout, addr)
469
        BEGIN
470
                IF exec(write_reg)='1' THEN
471
                        data_write_muxin <= reg_QB;
472
                ELSE
473
                        data_write_muxin <= data_write_tmp;
474
                END IF;
475
 
476
                IF BitField=0 THEN
477
                        IF oddout=addr(0) THEN
478
                                data_write_mux <= "--------"&"--------"&data_write_muxin;
479
                        ELSE
480
                                data_write_mux <= "--------"&data_write_muxin&"--------";
481
                        END IF;
482
                ELSE
483
                        IF oddout=addr(0) THEN
484
                                data_write_mux <= "--------"&bf_ext_out&data_write_muxin;
485
                        ELSE
486
                                data_write_mux <= bf_ext_out&data_write_muxin&"--------";
487
                        END IF;
488
                END IF;
489
 
490
                IF memmaskmux(1)='0' THEN
491
                        data_write <= data_write_mux(47 downto 32);
492
                ELSIF memmaskmux(3)='0' THEN
493
                        data_write <= data_write_mux(31 downto 16);
494
                ELSE
495
                        data_write <= data_write_mux(15 downto 0);
496
                END IF;
497
                IF exec(mem_byte)='1' THEN      --movep
498
                        data_write(7 downto 0) <= data_write_tmp(15 downto 8);
499
                END IF;
500
        END PROCESS;
501
 
502
-----------------------------------------------------------------------------
503
-- Registerfile
504
-----------------------------------------------------------------------------
505
PROCESS (clk, regfile, RDindex_A, RDindex_B, exec)
506
        BEGIN
507
                reg_QA <= regfile(RDindex_A);
508
                reg_QB <= regfile(RDindex_B);
509
                IF rising_edge(clk) THEN
510
                    IF clkena_lw='1' THEN
511
                                rf_source_addrd <= rf_source_addr;
512
                                WR_AReg <= rf_dest_addr(3);
513
                                RDindex_A <= conv_integer(rf_dest_addr(3 downto 0));
514
                                RDindex_B <= conv_integer(rf_source_addr(3 downto 0));
515
                                IF Wwrena='1' THEN
516
                                        regfile(RDindex_A) <= regin;
517
                                END IF;
518
 
519
                                IF exec(to_USP)='1' THEN
520
                                        USP <= reg_QA;
521
                                END IF;
522
                        END IF;
523
                END IF;
524
        END PROCESS;
525
 
526
-----------------------------------------------------------------------------
527
-- Write Reg
528
-----------------------------------------------------------------------------
529
PROCESS (OP1in, reg_QA, Regwrena_now, Bwrena, Lwrena, exe_datatype, WR_AReg, movem_actiond, exec, ALUout, memaddr, memaddr_a, ea_only, USP, movec_data)
530
        BEGIN
531
                regin <= ALUout;
532
                IF exec(save_memaddr)='1' THEN
533
                        regin <= memaddr;
534
                ELSIF exec(get_ea_now)='1' AND ea_only='1' THEN
535
                        regin <= memaddr_a;
536
                ELSIF exec(from_USP)='1' THEN
537
                        regin <= USP;
538
                ELSIF exec(movec_rd)='1' THEN
539
                        regin <= movec_data;
540
                END IF;
541
 
542
                IF Bwrena='1' THEN
543
                        regin(15 downto 8) <= reg_QA(15 downto 8);
544
                END IF;
545
                IF Lwrena='0' THEN
546
                        regin(31 downto 16) <= reg_QA(31 downto 16);
547
                END IF;
548
 
549
                Bwrena <= '0';
550
                Wwrena <= '0';
551
                Lwrena <= '0';
552
                IF exec(presub)='1' OR exec(postadd)='1' OR exec(changeMode)='1' THEN           -- -(An)+
553
                        Wwrena <= '1';
554
                        Lwrena <= '1';
555
                ELSIF Regwrena_now='1' THEN             --dbcc  
556
                        Wwrena <= '1';
557
                ELSIF exec(Regwrena)='1' THEN           --read (mem)
558
                        Wwrena <= '1';
559
                        CASE exe_datatype IS
560
                                WHEN "00" =>            --BYTE
561
                                        Bwrena <= '1';
562
                                WHEN "01" =>            --WORD
563
                                        IF WR_AReg='1' OR movem_actiond='1' THEN
564
                                                Lwrena <='1';
565
                                        END IF;
566
                                WHEN OTHERS =>          --LONG
567
                                        Lwrena <= '1';
568
                        END CASE;
569
                END IF;
570
        END PROCESS;
571
 
572
-----------------------------------------------------------------------------
573
-- set dest regaddr
574
-----------------------------------------------------------------------------
575
PROCESS (opcode, rf_source_addrd, brief, setstackaddr, dest_hbits, dest_areg, data_is_source, sndOPC, exec, set, dest_2ndHbits)
576
        BEGIN
577
                IF exec(movem_action) ='1' THEN
578
                        rf_dest_addr <= rf_source_addrd;
579
                ELSIF set(briefext)='1' THEN
580
                        rf_dest_addr <= brief(15 downto 12);
581 4 tobiflex
                ELSIF set(get_bfoffset)='1' THEN
582 5 tobiflex
--                      IF opcode(15 downto 12)="1110" THEN
583 4 tobiflex
                                rf_dest_addr <= '0'&sndOPC(8 downto 6);
584 5 tobiflex
--                      ELSE
585
--                              rf_dest_addr <= sndOPC(9 downto 6);
586
--                      END IF;
587 2 tobiflex
                ELSIF dest_2ndHbits='1' THEN
588 4 tobiflex
                        rf_dest_addr <= '0'&sndOPC(14 downto 12);
589 2 tobiflex
                ELSIF set(write_reminder)='1' THEN
590 4 tobiflex
                        rf_dest_addr <= '0'&sndOPC(2 downto 0);
591 2 tobiflex
                ELSIF setstackaddr='1' THEN
592
                        rf_dest_addr <= "1111";
593
                ELSIF dest_hbits='1' THEN
594
                        rf_dest_addr <= dest_areg&opcode(11 downto 9);
595
                ELSE
596
                        IF opcode(5 downto 3)="000" OR data_is_source='1' THEN
597
                                rf_dest_addr <= dest_areg&opcode(2 downto 0);
598
                        ELSE
599
                                rf_dest_addr <= '1'&opcode(2 downto 0);
600
                        END IF;
601
                END IF;
602
        END PROCESS;
603
 
604
-----------------------------------------------------------------------------
605
-- set source regaddr
606
-----------------------------------------------------------------------------
607
PROCESS (opcode, movem_presub, movem_regaddr, source_lowbits, source_areg, sndOPC, exec, set, source_2ndLbits, source_2ndHbits)
608
        BEGIN
609
                IF exec(movem_action)='1' OR set(movem_action) ='1' THEN
610
                        IF movem_presub='1' THEN
611
                                rf_source_addr <= movem_regaddr XOR "1111";
612
                        ELSE
613
                                rf_source_addr <= movem_regaddr;
614
                        END IF;
615
                ELSIF source_2ndLbits='1' THEN
616 4 tobiflex
                        rf_source_addr <= '0'&sndOPC(2 downto 0);
617 2 tobiflex
                ELSIF source_2ndHbits='1' THEN
618 4 tobiflex
                        rf_source_addr <= '0'&sndOPC(14 downto 12);
619 2 tobiflex
                ELSIF source_lowbits='1' THEN
620
                        rf_source_addr <= source_areg&opcode(2 downto 0);
621
                ELSIF exec(linksp)='1' THEN
622
                        rf_source_addr <= "1111";
623
                ELSE
624
                        rf_source_addr <= source_areg&opcode(11 downto 9);
625
                END IF;
626
        END PROCESS;
627
 
628
-----------------------------------------------------------------------------
629
-- set OP1out
630
-----------------------------------------------------------------------------
631
PROCESS (reg_QA, store_in_tmp, ea_data, long_start, addr, exec, memmaskmux)
632
        BEGIN
633
                OP1out <= reg_QA;
634
                IF exec(OP1out_zero)='1' THEN
635
                        OP1out <= (OTHERS => '0');
636
                ELSIF exec(ea_data_OP1)='1' AND store_in_tmp='1' THEN
637
                        OP1out <= ea_data;
638
                ELSIF exec(movem_action)='1' OR memmaskmux(3)='0' OR exec(OP1addr)='1' THEN
639
                        OP1out <= addr;
640
                END IF;
641
        END PROCESS;
642
 
643
-----------------------------------------------------------------------------
644
-- set OP2out
645
-----------------------------------------------------------------------------
646
PROCESS (OP2out, reg_QB, exe_opcode, exe_datatype, execOPC, exec, use_direct_data,
647
             store_in_tmp, data_write_tmp, ea_data)
648
        BEGIN
649
                OP2out(15 downto 0) <= reg_QB(15 downto 0);
650
                OP2out(31 downto 16) <= (OTHERS => OP2out(15));
651
                IF exec(OP2out_one)='1' THEN
652
                        OP2out(15 downto 0) <= "1111111111111111";
653
                ELSIF exec(opcEXT)='1' THEN
654
                        IF exe_opcode(6)='0' OR exe_opcode(8)='1' THEN   --ext.w
655
                                OP2out(15 downto 8) <= (OTHERS => OP2out(7));
656
                        END IF;
657
                ELSIF use_direct_data='1' OR (exec(exg)='1' AND execOPC='1') OR exec(get_bfoffset)='1' THEN
658
                        OP2out <= data_write_tmp;
659
                ELSIF (exec(ea_data_OP1)='0' AND store_in_tmp='1') OR exec(ea_data_OP2)='1' THEN
660
                        OP2out <= ea_data;
661
                ELSIF exec(opcMOVEQ)='1' THEN
662
                        OP2out(7 downto 0) <= exe_opcode(7 downto 0);
663
                        OP2out(15 downto 8) <= (OTHERS => exe_opcode(7));
664
                ELSIF exec(opcADDQ)='1' THEN
665
                        OP2out(2 downto 0) <= exe_opcode(11 downto 9);
666
                        IF exe_opcode(11 downto 9)="000" THEN
667
                                OP2out(3) <='1';
668
                        ELSE
669
                                OP2out(3) <='0';
670
                        END IF;
671
                        OP2out(15 downto 4) <= (OTHERS => '0');
672
                ELSIF exe_datatype="10" THEN
673
                        OP2out(31 downto 16) <= reg_QB(31 downto 16);
674
                END IF;
675
        END PROCESS;
676
 
677
 
678
-----------------------------------------------------------------------------
679
-- handle EA_data, data_write
680
-----------------------------------------------------------------------------
681
PROCESS (clk)
682
        BEGIN
683
        IF rising_edge(clk) THEN
684
                        IF Reset = '1' THEN
685
                                store_in_tmp <='0';
686
                                exec_write_back <= '0';
687
                                direct_data <= '0';
688
                                use_direct_data <= '0';
689
                                Z_error <= '0';
690
                        ELSIF clkena_lw='1' THEN
691
                                direct_data <= '0';
692
                                IF state="11" THEN
693
                                        exec_write_back <= '0';
694
                                ELSIF setstate="10" AND write_back='1' THEN
695 8 tobiflex
--              elsif setstate = "10" and write_back = '1' and next_micro_state = idle then  --???
696 2 tobiflex
                                        exec_write_back <= '1';
697
                                END IF;
698
 
699 8 tobiflex
                                IF exec(save_OP2)='1' THEN
700
                                        use_direct_data <= '1';
701
                                END IF;
702 2 tobiflex
                                IF set_direct_data='1' THEN
703
                                        direct_data <= '1';
704
                                        use_direct_data <= '1';
705
                                ELSIF endOPC='1' THEN
706
                                        use_direct_data <= '0';
707
                                END IF;
708
                                exec_DIRECT <= set_exec(opcMOVE);
709
 
710
                                IF endOPC='1' THEN
711
                                        store_in_tmp <='0';
712
                                        Z_error <= '0';
713
                                ELSE
714
                                        IF set_Z_error='1'  THEN
715
                                                Z_error <= '1';
716
                                        END IF;
717
                                        IF set_exec(opcMOVE)='1' AND state="11" THEN
718
                                                use_direct_data <= '1';
719
                                        END IF;
720
 
721
                                        IF state="10" OR exec(store_ea_packdata)='1' THEN
722
                                                store_in_tmp <= '1';
723
                                        END IF;
724
                                        IF direct_data='1' AND state="00" THEN
725
                                                store_in_tmp <= '1';
726
                                        END IF;
727
                                END IF;
728
 
729
                                IF state="10" AND exec(hold_ea_data)='0' THEN
730
                                        ea_data <= data_read;
731
                                ELSIF exec(get_2ndOPC)='1' THEN
732
                                        ea_data <= addr;
733
                                ELSIF exec(store_ea_data)='1' OR (direct_data='1' AND state="00") THEN
734
                                        ea_data <= last_data_read;
735
                                END IF;
736
 
737
                                IF writePC='1' THEN
738
                                        data_write_tmp <= TG68_PC;
739
                                ELSIF exec(writePC_add)='1' THEN
740
                                        data_write_tmp <= TG68_PC_add;
741 8 tobiflex
-- paste and copy form TH       ---------       
742
                                elsif micro_state=trap00 THEN
743
                                        data_write_tmp <= exe_pc; --TH
744
                                elsif micro_state = trap0 then
745
                  -- this is only active for 010+ since in 000 writePC is
746
                  -- true in state trap0
747
                                        if trap_trace='1' or set_exec(opcTRAPV) = '1' then
748
                                                -- stack frame format #2
749
                                                data_write_tmp(15 downto 0) <= "0010" & trap_vector(11 downto 0); --TH
750
                                        else
751
                                                data_write_tmp(15 downto 0) <= "0000" & trap_vector(11 downto 0);
752
                                        end if;
753
------------------------------------
754
--                              ELSIF micro_state=trap0 THEN    
755
--                                      data_write_tmp(15 downto 0) <= trap_vector(15 downto 0);
756 2 tobiflex
                                ELSIF exec(hold_dwr)='1' THEN
757
                                        data_write_tmp <= data_write_tmp;
758
                                ELSIF exec(exg)='1' THEN
759
                                        data_write_tmp <= OP1out;
760
                                ELSIF exec(get_ea_now)='1' AND ea_only='1' THEN         -- ist for pea
761
                                        data_write_tmp <= addr;
762
                                ELSIF execOPC='1' THEN
763
                                        data_write_tmp <= ALUout;
764
                                ELSIF (exec_DIRECT='1' AND state="10") THEN
765
                                        data_write_tmp <= data_read;
766
                                        IF  exec(movepl)='1' THEN
767
                                                data_write_tmp(31 downto 8) <= data_write_tmp(23 downto 0);
768
                                        END IF;
769
                                ELSIF exec(movepl)='1' THEN
770
                                        data_write_tmp(15 downto 0) <= reg_QB(31 downto 16);
771
                                ELSIF direct_data='1' THEN
772
                                        data_write_tmp <= last_data_read;
773
                                ELSIF writeSR='1'THEN
774
                                        data_write_tmp(15 downto 0) <= trap_SR(7 downto 0)& Flags(7 downto 0);
775
                                ELSE
776
                                        data_write_tmp <= OP2out;
777
                                END IF;
778
                        END IF;
779
                END IF;
780
        END PROCESS;
781
 
782
-----------------------------------------------------------------------------
783
-- brief
784
-----------------------------------------------------------------------------
785
PROCESS (brief, OP1out, OP1outbrief, cpu)
786
        BEGIN
787
                IF brief(11)='1' THEN
788
                        OP1outbrief <= OP1out(31 downto 16);
789
                ELSE
790
                        OP1outbrief <= (OTHERS=>OP1out(15));
791
                END IF;
792
                briefdata <= OP1outbrief&OP1out(15 downto 0);
793
                IF extAddr_Mode=1 OR (cpu(1)='1' AND extAddr_Mode=2) THEN
794
                        CASE brief(10 downto 9) IS
795
                                WHEN "00" => briefdata <= OP1outbrief&OP1out(15 downto 0);
796
                                WHEN "01" => briefdata <= OP1outbrief(14 downto 0)&OP1out(15 downto 0)&'0';
797
                                WHEN "10" => briefdata <= OP1outbrief(13 downto 0)&OP1out(15 downto 0)&"00";
798
                                WHEN "11" => briefdata <= OP1outbrief(12 downto 0)&OP1out(15 downto 0)&"000";
799
                                WHEN OTHERS => NULL;
800
                        END CASE;
801
                END IF;
802
        END PROCESS;
803
 
804
-----------------------------------------------------------------------------
805
-- MEM_IO 
806
-----------------------------------------------------------------------------
807
PROCESS (clk, setdisp, memaddr_a, briefdata, memaddr_delta, setdispbyte, datatype, interrupt, rIPL_nr, IPL_vec,
808
         memaddr_reg, reg_QA, use_base, VBR, last_data_read, trap_vector, exec, set, cpu)
809
        BEGIN
810
 
811
                IF rising_edge(clk) THEN
812
                        IF clkena_lw='1' THEN
813
                                trap_vector(31 downto 10) <= (others => '0');
814
                                IF trap_berr='1' THEN
815
                                        trap_vector(9 downto 0) <= "00" & X"08";
816
                                END IF;
817
                                IF trap_addr_error='1' THEN
818
                                        trap_vector(9 downto 0) <= "00" & X"0C";
819
                                END IF;
820
                                IF trap_illegal='1' THEN
821
                                        trap_vector(9 downto 0) <= "00" & X"10";
822
                                END IF;
823
                                IF z_error='1' THEN
824
                                        trap_vector(9 downto 0) <= "00" & X"14";
825
                                END IF;
826
                                IF exec(trap_chk)='1' THEN
827
                                        trap_vector(9 downto 0) <= "00" & X"18";
828
                                END IF;
829
                                IF trap_trapv='1' THEN
830
                                        trap_vector(9 downto 0) <= "00" & X"1C";
831
                                END IF;
832
                                IF trap_priv='1' THEN
833
                                        trap_vector(9 downto 0) <= "00" & X"20";
834
                                END IF;
835
                                IF trap_trace='1' THEN
836
                                        trap_vector(9 downto 0) <= "00" & X"24";
837
                                END IF;
838
                                IF trap_1010='1' THEN
839
                                        trap_vector(9 downto 0) <= "00" & X"28";
840
                                END IF;
841
                                IF trap_1111='1' THEN
842
                                        trap_vector(9 downto 0) <= "00" & X"2C";
843
                                END IF;
844
                                IF trap_trap='1' THEN
845
                                        trap_vector(9 downto 0) <= "0010" & opcode(3 downto 0) & "00";
846
                                END IF;
847
                                IF trap_interrupt='1' or set_vectoraddr = '1' THEN
848
                                        trap_vector(9 downto 0) <= IPL_vec & "00";      --TH
849
                                END IF;
850
                        END IF;
851
                END IF;
852
                IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
853
                        trap_vector_vbr <= trap_vector;
854
                ELSE
855
                        trap_vector_vbr <= trap_vector+VBR;
856
                END IF;
857
 
858
                memaddr_a(4 downto 0) <= "00000";
859
                memaddr_a(7 downto 5) <= (OTHERS=>memaddr_a(4));
860
                memaddr_a(15 downto 8) <= (OTHERS=>memaddr_a(7));
861
                memaddr_a(31 downto 16) <= (OTHERS=>memaddr_a(15));
862
                IF setdisp='1' THEN
863
                        IF exec(briefext)='1' THEN
864
                                memaddr_a <= briefdata+memaddr_delta;
865
                        ELSIF setdispbyte='1' THEN
866
                                memaddr_a(7 downto 0) <= last_data_read(7 downto 0);
867
                        ELSE
868
                                memaddr_a <= last_data_read;
869
                        END IF;
870
                ELSIF set(presub)='1' THEN
871
                        IF set(longaktion)='1' THEN
872
                                memaddr_a(4 downto 0) <= "11100";
873
                        ELSIF datatype="00" AND set(use_SP)='0' THEN
874
                                memaddr_a(4 downto 0) <= "11111";
875
                        ELSE
876
                                memaddr_a(4 downto 0) <= "11110";
877
                        END IF;
878
                ELSIF interrupt='1' THEN
879
                        memaddr_a(4 downto 0) <= '1'&rIPL_nr&'0';
880
                END IF;
881
 
882
                IF rising_edge(clk) THEN
883
                        IF clkena_in='1' THEN
884
                                IF exec(get_2ndOPC)='1' OR (state="10" AND memread(0)='1') THEN
885
                                        tmp_TG68_PC <= addr;
886
                                END IF;
887
                                use_base <= '0';
888
                                IF memmaskmux(3)='0' OR exec(mem_addsub)='1' THEN
889
                                        memaddr_delta <= addsub_q;
890
                                ELSIF state="01" AND exec_write_back='1' THEN
891
                                        memaddr_delta <= tmp_TG68_PC;
892
                                ELSIF exec(direct_delta)='1' THEN
893
                                        memaddr_delta <= data_read;
894
                                ELSIF exec(ea_to_pc)='1' AND setstate="00" THEN
895
                                        memaddr_delta <= addr;
896
                                ELSIF set(addrlong)='1' THEN
897
                                        memaddr_delta <= last_data_read;
898
                                ELSIF setstate="00" THEN
899
                                        memaddr_delta <= TG68_PC_add;
900
                                ELSIF exec(dispouter)='1' THEN
901
                                        memaddr_delta <= ea_data+memaddr_a;
902
                                ELSIF set_vectoraddr='1' THEN
903
                                        memaddr_delta <= trap_vector_vbr;
904
                                ELSE
905
                                        memaddr_delta <= memaddr_a;
906
                                        IF interrupt='0' AND Suppress_Base='0' THEN
907
--                                      IF interrupt='0' AND Suppress_Base='0' AND setstate(1)='1' THEN
908
                                                use_base <= '1';
909
                                        END IF;
910
                                END IF;
911
 
912
                -- only used for movem address update
913
--                                      IF (long_done='0' AND state(1)='1') OR movem_presub='0' THEN
914
                                        if ((memread(0) = '1') and state(1) = '1') or movem_presub = '0' then -- fix for unaligned movem mikej
915
                                                memaddr <= addr;
916
                                        END IF;
917
                        END IF;
918
                END IF;
919
 
920
                -- if access done, and not aligned, don't increment
921
                addr <= memaddr_reg+memaddr_delta;
922 4 tobiflex
                addr_out <= memaddr_reg + memaddr_delta;
923
 
924 2 tobiflex
                IF use_base='0' THEN
925
                        memaddr_reg <= (others=>'0');
926
                ELSE
927
                        memaddr_reg <= reg_QA;
928
                END IF;
929
    END PROCESS;
930
 
931
-----------------------------------------------------------------------------
932
-- PC Calc + fetch opcode
933
-----------------------------------------------------------------------------
934
PROCESS (clk, IPL, setstate, state, exec_write_back, set_direct_data, next_micro_state, stop, make_trace, make_berr, IPL_nr, FlagsSR, set_rot_cnt, opcode, writePCbig, set_exec, exec,
935 4 tobiflex
        PC_dataa, PC_datab, setnextpass, last_data_read, TG68_PC_brw, TG68_PC_word, Z_error, trap_trap, trap_trapv, interrupt, tmp_TG68_PC, TG68_PC)
936 2 tobiflex
        BEGIN
937
 
938
                PC_dataa <= TG68_PC;
939
                IF TG68_PC_brw = '1' THEN
940
                        PC_dataa <= tmp_TG68_PC;
941
                END IF;
942
 
943
                PC_datab(2 downto 0) <= (others => '0');
944
                PC_datab(3) <= PC_datab(2);
945
                PC_datab(7 downto 4) <= (others => PC_datab(3));
946
                PC_datab(15 downto 8) <= (others => PC_datab(7));
947
                PC_datab(31 downto 16) <= (others => PC_datab(15));
948
                IF interrupt='1' THEN
949
                        PC_datab(2 downto 1) <= "11";
950
                END IF;
951
                IF exec(writePC_add) ='1' THEN
952
                        IF writePCbig='1' THEN
953
                                PC_datab(3) <= '1';
954
                                PC_datab(1) <= '1';
955
                        ELSE
956
                                PC_datab(2) <= '1';
957
                        END IF;
958
                        IF trap_trap='1' OR trap_trapv='1' OR exec(trap_chk)='1' OR Z_error='1' THEN
959
                                PC_datab(1) <= '1';
960
                        END IF;
961
                ELSIF state="00" THEN
962
                        PC_datab(1) <= '1';
963
                END IF;
964
                IF TG68_PC_brw = '1' THEN
965
                        IF TG68_PC_word='1' THEN
966
                                PC_datab <= last_data_read;
967
                        ELSE
968
                                PC_datab(7 downto 0) <= opcode(7 downto 0);
969
                        END IF;
970
                END IF;
971
 
972
                TG68_PC_add <= PC_dataa+PC_datab;
973
 
974
                setopcode <= '0';
975
                setendOPC <= '0';
976
                setinterrupt <= '0';
977
                IF setstate="00" AND next_micro_state=idle AND setnextpass='0' AND (exec_write_back='0' OR state="11") AND set_rot_cnt="000001" AND set_exec(opcCHK)='0'THEN
978
                        setendOPC <= '1';
979
                        IF FlagsSR(2 downto 0)<IPL_nr OR IPL_nr="111"  OR make_trace='1' OR make_berr='1' THEN
980
                                setinterrupt <= '1';
981
                        ELSIF stop='0' THEN
982
                                setopcode <= '1';
983
                        END IF;
984
                END IF;
985
                setexecOPC <= '0';
986
                IF setstate="00" AND next_micro_state=idle AND set_direct_data='0' AND (exec_write_back='0' OR state="10") THEN
987
                        setexecOPC <= '1';
988
                END IF;
989
 
990
                IPL_nr <= NOT IPL;
991
                IF rising_edge(clk) THEN
992 4 tobiflex
                        IF Reset = '1' THEN
993 2 tobiflex
                                state <= "01";
994
                                opcode <= X"2E79";                                      --move $0,a7
995
                                trap_interrupt <= '0';
996
                                interrupt <= '0';
997
                                last_opc_read  <= X"4EF9";                      --jmp nn.l
998
                                TG68_PC <= X"00000004";
999
                                decodeOPC <= '0';
1000
                                endOPC <= '0';
1001
                                TG68_PC_word <= '0';
1002
                                execOPC <= '0';
1003
                                stop <= '0';
1004
                                rot_cnt <="000001";
1005
--                              byte <= '0';
1006
--                              IPL_nr <= "000";
1007
                                trap_trace <= '0';
1008
                                trap_berr <= '0';
1009
                                writePCbig <= '0';
1010
--                              recall_last <= '0';
1011
                                Suppress_Base <= '0';
1012
                                make_berr <= '0';
1013
                                memmask <= "111111";
1014
                        ELSE
1015
--                              IPL_nr <= NOT IPL;
1016
                                IF clkena_in='1' THEN
1017
                                        memmask <= memmask(3 downto 0)&"11";
1018
                                        memread <= memread(1 downto 0)&memmaskmux(5 downto 4);
1019
--                                      IF wbmemmask(5 downto 4)="11" THEN      
1020
--                                              wbmemmask <= memmask;
1021
--                                      END IF;
1022
                                        IF exec(directPC)='1' THEN
1023
                                                TG68_PC <= data_read;
1024
                                        ELSIF exec(ea_to_pc)='1' THEN
1025
                                                TG68_PC <= addr;
1026
                                        ELSIF (state ="00" OR TG68_PC_brw = '1') AND stop='0'  THEN
1027
                                                TG68_PC <= TG68_PC_add;
1028
                                        END IF;
1029
                                END IF;
1030
                                IF clkena_lw='1' THEN
1031
                                        interrupt <= setinterrupt;
1032
                                        decodeOPC <= setopcode;
1033
                                        endOPC <= setendOPC;
1034
                                        execOPC <= setexecOPC;
1035
 
1036
                                        exe_datatype <= set_datatype;
1037
                                        exe_opcode <= opcode;
1038
 
1039
                                        if(trap_berr='0') then
1040
                                                make_berr <= (berr OR make_berr);
1041
                                        else
1042
                                                make_berr <= '0';
1043
                                        end if;
1044
 
1045
                                        stop <= set_stop OR (stop AND NOT setinterrupt);
1046
                                        IF setinterrupt='1' THEN
1047
                                                trap_interrupt <= '0';
1048
                                                trap_trace <= '0';
1049
--                                              TG68_PC_word <= '0';
1050
                                                make_berr <= '0';
1051
                                                trap_berr <= '0';
1052
                                                IF make_trace='1' THEN
1053
                                                        trap_trace <= '1';
1054
                                                ELSIF make_berr='1' THEN
1055
                                                        trap_berr <= '1';
1056
                                                ELSE
1057
                                                        rIPL_nr <= IPL_nr;
1058
                                                        IPL_vec <= "00011"&IPL_nr;            --        TH              
1059
                                                        trap_interrupt <= '1';
1060
                                                END IF;
1061
                                        END IF;
1062
                                        IF micro_state=trap0 AND IPL_autovector='0' THEN
1063
                                                IPL_vec <= last_data_read(7 downto 0);    --     TH
1064
                                        END IF;
1065
                                        IF state="00" THEN
1066
                                                last_opc_read <= data_read(15 downto 0);
1067 8 tobiflex
                                                last_opc_pc <= tg68_pc;--TH
1068 2 tobiflex
                                        END IF;
1069
                                        IF setopcode='1' THEN
1070
                                                trap_interrupt <= '0';
1071
                                                trap_trace <= '0';
1072
                                                TG68_PC_word <= '0';
1073
                                                trap_berr <= '0';
1074
                                        ELSIF opcode(7 downto 0)="00000000" OR opcode(7 downto 0)="11111111" OR data_is_source='1' THEN
1075
                                                TG68_PC_word <= '1';
1076
                                        END IF;
1077
 
1078
                                        IF exec(get_bfoffset)='1' THEN
1079
                                                alu_width <= bf_width;
1080
                                                alu_bf_shift <= bf_shift;
1081
                                                alu_bf_loffset <= bf_loffset;
1082
                                                alu_bf_ffo_offset <= bf_full_offset+bf_width+1;
1083
                                        END IF;
1084
                                        memread <= "1111";
1085
                                        FC(1) <= NOT setstate(1) OR (PCbase AND NOT setstate(0));
1086
                                        FC(0) <= setstate(1) AND (NOT PCbase OR setstate(0));
1087
                                        IF interrupt='1' THEN
1088
                                                FC(1 downto 0) <= "11";
1089
                                        END IF;
1090
                                        IF (state="10" AND write_back='1' AND setstate/="10") OR set_rot_cnt/="000001" OR (stop='1' AND interrupt='0') OR set_exec(opcCHK)='1' THEN
1091
                                                state <= "01";
1092
                                                memmask <= "111111";
1093
                                        ELSIF execOPC='1' AND exec_write_back='1' THEN
1094
                                                state <= "11";
1095
                                                FC(1 downto 0) <= "01";
1096
                                                memmask <= wbmemmask;
1097
                                        ELSE
1098
                                                state <= setstate;
1099
                                                IF setstate="01" THEN
1100
                                                        memmask <= "111111";
1101
                                                        wbmemmask <= "111111";
1102
                                                ELSIF exec(get_bfoffset)='1' THEN
1103
                                                        memmask <= set_memmask;
1104
                                                        wbmemmask <= set_memmask;
1105
                                                        oddout <= set_oddout;
1106
                                                ELSIF set(longaktion)='1' THEN
1107
                                                        memmask <= "100001";
1108
                                                        wbmemmask <= "100001";
1109
                                                        oddout <= '0';
1110
                                                ELSIF set_datatype="00" AND setstate(1)='1' THEN
1111
                                                        memmask <= "101111";
1112
                                                        wbmemmask <= "101111";
1113
                                                        IF set(mem_byte)='1' THEN
1114
                                                                oddout <= '0';
1115
                                                        ELSE
1116
                                                                oddout <= '1';
1117
                                                        END IF;
1118
                                                ELSE
1119
                                                        memmask <= "100111";
1120
                                                        wbmemmask <= "100111";
1121
                                                        oddout <= '0';
1122
                                                END IF;
1123
                                        END IF;
1124
 
1125
                                        IF decodeOPC='1' THEN
1126
                                                rot_bits <= set_rot_bits;
1127
                                                writePCbig <= '0';
1128
                                        ELSE
1129
                                                writePCbig <= set_writePCbig OR writePCbig;
1130
                                        END IF;
1131
                                        IF decodeOPC='1' OR exec(ld_rot_cnt)='1' OR rot_cnt/="000001" THEN
1132
                                                rot_cnt <= set_rot_cnt;
1133
                                        END IF;
1134
--                                      IF setstate(1)='1' AND set_datatype="00" THEN
1135
--                                              byte <= '1';
1136
--                                      END IF;
1137
 
1138
                                        IF set_Suppress_Base='1' THEN
1139
                                                Suppress_Base <= '1';
1140
                                        ELSIF setstate(1)='1' OR (ea_only='1' AND set(get_ea_now)='1') THEN
1141
                                                Suppress_Base <= '0';
1142
                                        END IF;
1143
                                        IF getbrief='1' THEN
1144
                                                IF state(1)='1' THEN
1145
                                                        brief <= last_opc_read(15 downto 0);
1146
                                                ELSE
1147
                                                        brief <= data_read(15 downto 0);
1148
                                                END IF;
1149
                                        END IF;
1150
 
1151
                                        IF setopcode='1' AND berr='0' THEN
1152
                                                IF state="00" THEN
1153
                                                        opcode <= data_read(15 downto 0);
1154 8 tobiflex
                                                        exe_pc <= tg68_pc;--TH
1155 2 tobiflex
                                                ELSE
1156
                                                        opcode <= last_opc_read(15 downto 0);
1157 8 tobiflex
                                                        exe_pc <= last_opc_pc;--TH
1158 2 tobiflex
                                                END IF;
1159
                                                nextpass <= '0';
1160
                                        ELSIF setinterrupt='1' OR setopcode='1' THEN
1161
                                                opcode <= X"4E71";              --nop
1162
                                                nextpass <= '0';
1163
                                        ELSE
1164
--                                              IF setnextpass='1' OR (regdirectsource='1' AND state="00") THEN
1165
                                                IF setnextpass='1' OR regdirectsource='1' THEN
1166
                                                        nextpass <= '1';
1167
                                                END IF;
1168
                                        END IF;
1169
 
1170
                                        IF decodeOPC='1' OR interrupt='1' THEN
1171
                                                trap_SR <= FlagsSR;
1172
                                        END IF;
1173
                                END IF;
1174
                        END IF;
1175
                END IF;
1176
 
1177
                IF rising_edge(clk) THEN
1178 5 tobiflex
                        IF Reset = '1' THEN
1179 2 tobiflex
                                PCbase <= '1';
1180
                        ELSIF clkena_lw='1' THEN
1181
                                PCbase <= set_PCbase OR PCbase;
1182
                                IF setexecOPC='1' OR (state(1)='1' AND movem_run='0') THEN
1183
                                        PCbase <= '0';
1184
                                END IF;
1185
                        END IF;
1186
                        IF clkena_lw='1' THEN
1187
                                exec <= set;
1188
                                exec_tas <= '0';
1189
                                exec(subidx) <= set(presub) or set(subidx);
1190
                                IF setexecOPC='1' THEN
1191
                                        exec <= set_exec OR set;
1192
                                        exec_tas <= set_exec_tas;
1193
                                END IF;
1194
                                exec(get_2ndOPC) <= set(get_2ndOPC) OR setopcode;
1195
                        END IF;
1196
                END IF;
1197
        END PROCESS;
1198
 
1199
------------------------------------------------------------------------------
1200
--prepare Bitfield Parameters
1201
------------------------------------------------------------------------------          
1202
PROCESS (clk, Reset, sndOPC, reg_QA, reg_QB, bf_width, bf_offset, bf_bhits, opcode, setstate, bf_shift)
1203
        BEGIN
1204
                IF sndOPC(11)='1' THEN
1205
                        bf_offset <= '0'&reg_QA(4 downto 0);
1206
                ELSE
1207
                        bf_offset <= '0'&sndOPC(10 downto 6);
1208
                END IF;
1209
                IF sndOPC(11)='1' THEN
1210
                        bf_full_offset <= reg_QA;
1211
                ELSE
1212
                        bf_full_offset <= (others => '0');
1213
                        bf_full_offset(4 downto 0) <= sndOPC(10 downto 6);
1214
                END IF;
1215
 
1216
                bf_width(5) <= '0';
1217
                IF sndOPC(5)='1' THEN
1218
                        bf_width(4 downto 0) <= reg_QB(4 downto 0)-1;
1219
                ELSE
1220
                        bf_width(4 downto 0) <= sndOPC(4 downto 0)-1;
1221
                END IF;
1222
                bf_bhits <= bf_width+bf_offset;
1223
                set_oddout <= NOT bf_bhits(3);
1224
 
1225 4 tobiflex
 
1226
-- bf_loffset is used for the shifted_bitmask
1227 2 tobiflex
                IF opcode(10 downto 8)="111" THEN --INS
1228
                        bf_loffset <= 32-bf_shift;
1229
                ELSE
1230
                        bf_loffset <= bf_shift;
1231
                END IF;
1232
                bf_loffset(5) <= '0';
1233
 
1234
                IF opcode(4 downto 3)="00" THEN
1235
                        IF opcode(10 downto 8)="111" THEN --INS
1236
                                bf_shift <= bf_bhits+1;
1237
                        ELSE
1238
                                bf_shift <= 31-bf_bhits;
1239
                        END IF;
1240
                        bf_shift(5) <= '0';
1241
                ELSE
1242 4 tobiflex
                        IF opcode(10 downto 8)="111" THEN --INS
1243
                                bf_shift <= "011001"+("000"&bf_bhits(2 downto 0));
1244
                                bf_shift(5) <= '0';
1245 2 tobiflex
                        ELSE
1246
                                bf_shift <= "000"&("111"-bf_bhits(2 downto 0));
1247
                        END IF;
1248
                        bf_offset(4 downto 3) <= "00";
1249
                END IF;
1250 4 tobiflex
 
1251
                CASE bf_bhits(5 downto 3) IS
1252
                        WHEN "000" =>
1253
                                set_memmask <= "101111";
1254
                        WHEN "001" =>
1255 2 tobiflex
                                set_memmask <= "100111";
1256 4 tobiflex
                        WHEN "010" =>
1257
                                set_memmask <= "100011";
1258
                        WHEN "011" =>
1259
                                set_memmask <= "100001";
1260
                        WHEN OTHERS =>
1261
                                set_memmask <= "100000";
1262
                END CASE;
1263
                IF setstate="00" THEN
1264
                        set_memmask <= "100111";
1265
                END IF;
1266 2 tobiflex
        END PROCESS;
1267
 
1268
------------------------------------------------------------------------------
1269
--SR op
1270
------------------------------------------------------------------------------          
1271
PROCESS (clk, Reset, FlagsSR, last_data_read, OP2out, exec)
1272
        BEGIN
1273
                IF exec(andiSR)='1' THEN
1274
                        SRin <= FlagsSR AND last_data_read(15 downto 8);
1275
                ELSIF exec(eoriSR)='1' THEN
1276
                        SRin <= FlagsSR XOR last_data_read(15 downto 8);
1277
                ELSIF exec(oriSR)='1' THEN
1278
                        SRin <= FlagsSR OR last_data_read(15 downto 8);
1279
                ELSE
1280
                        SRin <= OP2out(15 downto 8);
1281
                END IF;
1282
 
1283
                IF rising_edge(clk) THEN
1284 4 tobiflex
                        IF Reset='1' THEN
1285 2 tobiflex
                                FC(2) <= '1';
1286
                                SVmode <= '1';
1287
                                preSVmode <= '1';
1288 7 tobiflex
                                FlagsSR <= "00100111";
1289 2 tobiflex
                                make_trace <= '0';
1290
                        ELSIF clkena_lw = '1' THEN
1291
                                IF setopcode='1' THEN
1292
                                        make_trace <= FlagsSR(7);
1293
                                        IF set(changeMode)='1' THEN
1294
                                                SVmode <= NOT SVmode;
1295
                                        ELSE
1296
                                                SVmode <= preSVmode;
1297
                                        END IF;
1298
                                END IF;
1299
                                IF set(changeMode)='1' THEN
1300
                                        preSVmode <= NOT preSVmode;
1301
                                        FlagsSR(5) <= NOT preSVmode;
1302
                                        FC(2) <= NOT preSVmode;
1303
                                END IF;
1304
                                IF micro_state=trap3 THEN
1305
                                        FlagsSR(7) <= '0';
1306
                                END IF;
1307
                                IF trap_trace='1' AND state="10" THEN
1308
                                        make_trace <= '0';
1309
                                END IF;
1310
                                IF exec(directSR)='1' OR set_stop='1' THEN
1311
                                        FlagsSR <= data_read(15 downto 8);
1312
                                END IF;
1313
                                IF interrupt='1' AND trap_interrupt='1' THEN
1314
                                        FlagsSR(2 downto 0) <=rIPL_nr;
1315
                                END IF;
1316
                                IF exec(to_SR)='1' THEN
1317
                                        FlagsSR(7 downto 0) <= SRin;     --SR
1318
                                        FC(2) <= SRin(5);
1319
                                ELSIF exec(update_FC)='1' THEN
1320
                                        FC(2) <= FlagsSR(5);
1321
                                END IF;
1322
                                IF interrupt='1' THEN
1323
                                        FC(2) <= '1';
1324 7 tobiflex
                                END IF;
1325
                                IF cpu(1)='0' THEN
1326
                                        FlagsSR(6) <= '0';
1327
                                END IF;
1328 4 tobiflex
                                FlagsSR(3) <= '0';
1329 2 tobiflex
                        END IF;
1330
                END IF;
1331
        END PROCESS;
1332
 
1333
-----------------------------------------------------------------------------
1334
-- decode opcode
1335
-----------------------------------------------------------------------------
1336
PROCESS (clk, cpu, OP1out, OP2out, opcode, exe_condition, nextpass, micro_state, decodeOPC, state, setexecOPC, Flags, FlagsSR, direct_data, build_logical,
1337
                 build_bcd, set_Z_error, trapd, movem_run, last_data_read, set, set_V_Flag, z_error, trap_trace, trap_interrupt,
1338
                 SVmode, preSVmode, stop, long_done, ea_only, setstate, execOPC, exec_write_back, exe_datatype,
1339 8 tobiflex
                 datatype, interrupt, c_out, trapmake, rot_cnt, brief, addr, trap_trapv,
1340 2 tobiflex
                 long_start, set_datatype, sndOPC, set_exec, exec, ea_build_now, reg_QA, reg_QB, make_berr, trap_berr)
1341
        BEGIN
1342
                TG68_PC_brw <= '0';
1343
                setstate <= "00";
1344
                Regwrena_now <= '0';
1345
                movem_presub <= '0';
1346
                setnextpass <= '0';
1347
                regdirectsource <= '0';
1348
                setdisp <= '0';
1349
                setdispbyte <= '0';
1350
                getbrief <= '0';
1351
                dest_areg <= '0';
1352
                source_areg <= '0';
1353
                data_is_source <= '0';
1354
                write_back <= '0';
1355
                setstackaddr <= '0';
1356
                writePC <= '0';
1357
                ea_build_now <= '0';
1358
--              set_rot_bits <= "00";
1359
                set_rot_bits <= opcode(4 downto 3);
1360
                set_rot_cnt <= "000001";
1361
                dest_hbits <= '0';
1362
                source_lowbits <= '0';
1363
                source_2ndHbits <= '0';
1364
                source_2ndLbits <= '0';
1365
                dest_2ndHbits <= '0';
1366
                ea_only <= '0';
1367
                set_direct_data <= '0';
1368
                set_exec_tas <= '0';
1369
                trap_illegal <='0';
1370
                trap_addr_error <= '0';
1371
                trap_priv <='0';
1372
                trap_1010 <='0';
1373
                trap_1111 <='0';
1374
                trap_trap <='0';
1375
                trap_trapv <= '0';
1376
                trapmake <='0';
1377
                set_vectoraddr <='0';
1378
                writeSR <= '0';
1379
                set_stop <= '0';
1380
--              illegal_write_mode <= '0';
1381
--              illegal_read_mode <= '0';
1382
--              illegal_byteaddr <= '0';
1383
                set_Z_error <= '0';
1384
 
1385
                next_micro_state <= idle;
1386
                build_logical <= '0';
1387
                build_bcd <= '0';
1388
                skipFetch <= make_berr;
1389
                set_writePCbig <= '0';
1390
--              set_recall_last <= '0';
1391
                set_Suppress_Base <= '0';
1392
                set_PCbase <= '0';
1393
 
1394
                IF rot_cnt/="000001" THEN
1395
                        set_rot_cnt <= rot_cnt-1;
1396
                END IF;
1397
                set_datatype <= datatype;
1398
 
1399
                set <= (OTHERS=>'0');
1400
                set_exec <= (OTHERS=>'0');
1401
                set(update_ld) <= '0';
1402
--              odd_start <= '0';
1403
------------------------------------------------------------------------------
1404
--Sourcepass
1405
------------------------------------------------------------------------------          
1406
                CASE opcode(7 downto 6) IS
1407
                        WHEN "00" => datatype <= "00";          --Byte
1408
                        WHEN "01" => datatype <= "01";          --Word
1409
                        WHEN OTHERS => datatype <= "10";        --Long
1410
                END CASE;
1411
 
1412 8 tobiflex
                IF trapmake='1' AND trapd='0' THEN
1413
-- paste and copy form TH       ---------       
1414
                        if trap_trapv = '1' and (VBR_Stackframe = 1 or (cpu(0) = '1' and VBR_Stackframe = 2)) then
1415
                                next_micro_state <= trap00;
1416
                        else
1417
                                next_micro_state <= trap0;
1418
                        end if;
1419
------------------------------------
1420
--                      next_micro_state <= trap0;
1421 2 tobiflex
                        IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
1422
                                set(writePC_add) <= '1';
1423
--                              set_datatype <= "10";
1424
                        END IF;
1425
                        IF preSVmode='0' THEN
1426
                                set(changeMode) <= '1';
1427
                        END IF;
1428
                        setstate <= "01";
1429
                END IF;
1430
                IF interrupt='1' AND trap_berr='1' THEN
1431
                        next_micro_state <= trap0;
1432
                        IF preSVmode='0' THEN
1433
                                set(changeMode) <= '1';
1434
                        END IF;
1435
                        setstate <= "01";
1436
                END IF;
1437
                IF micro_state=int1 OR (interrupt='1' AND trap_trace='1') THEN
1438 8 tobiflex
-- paste and copy form TH       ---------       
1439
                        if trap_trace='1' AND (VBR_Stackframe=1 or (cpu(0)='1' AND VBR_Stackframe=2)) then
1440
                                next_micro_state <= trap00;  --TH
1441
                        else
1442
                                next_micro_state <= trap0;
1443
                        end if;
1444
------------------------------------
1445
--                      next_micro_state <= trap0;
1446 2 tobiflex
--                      IF cpu(0)='0' THEN
1447
--                              set_datatype <= "10";
1448
--                      END IF;
1449
                        IF preSVmode='0' THEN
1450
                                set(changeMode) <= '1';
1451
                        END IF;
1452
                        setstate <= "01";
1453
                END IF;
1454 8 tobiflex
        if micro_state = int1 or (interrupt = '1' and trap_trace = '1') then
1455
                                                if trap_trace='1' AND (VBR_Stackframe=1 or (cpu(0)='1' AND VBR_Stackframe=2)) then
1456
                                                  next_micro_state <= trap00;  --TH
1457
                                                else
1458
          next_micro_state <= trap0;
1459
                                                end if;
1460
          -- if cpu(0)='0' then
1461
          -- set_datatype <= "10";
1462
          -- end if;
1463
          if preSVmode = '0' then
1464
                set(changeMode) <= '1';
1465
          end if;
1466
          setstate <= "01";
1467
        end if;
1468 2 tobiflex
 
1469
                IF setexecOPC='1' AND FlagsSR(5)/=preSVmode THEN
1470
                        set(changeMode) <= '1';
1471
--                      setstate <= "01";
1472
--                      next_micro_state <= nop;
1473
                END IF;
1474
 
1475
                IF interrupt='1' AND trap_interrupt='1'THEN
1476
--                      skipFetch <= '1';
1477
                        next_micro_state <= int1;
1478
                        set(update_ld) <= '1';
1479
                        setstate <= "10";
1480
                END IF;
1481
 
1482
                IF set(changeMode)='1' THEN
1483
                        set(to_USP) <= '1';
1484
                        set(from_USP) <= '1';
1485
                        setstackaddr <='1';
1486
                END IF;
1487
 
1488
                IF ea_only='0' AND set(get_ea_now)='1' THEN
1489
                        setstate <= "10";
1490
--                      set_recall_last <= '1';
1491
--                      set(update_ld) <= '0';
1492
                END IF;
1493
 
1494
                IF setstate(1)='1' AND set_datatype(1)='1' THEN
1495
                        set(longaktion) <= '1';
1496
                END IF;
1497
 
1498
                IF (ea_build_now='1' AND decodeOPC='1') OR exec(ea_build)='1' THEN
1499
                        CASE opcode(5 downto 3) IS              --source
1500
                                WHEN "010"|"011"|"100" =>                                               -- -(An)+
1501
                                        set(get_ea_now) <='1';
1502
                                        setnextpass <= '1';
1503
                                        IF opcode(3)='1' THEN   --(An)+
1504
                                                set(postadd) <= '1';
1505
                                                IF opcode(2 downto 0)="111" THEN
1506
                                                        set(use_SP) <= '1';
1507
                                                END IF;
1508
                                        END IF;
1509
                                        IF opcode(5)='1' THEN   -- -(An)
1510
                                                set(presub) <= '1';
1511
                                                IF opcode(2 downto 0)="111" THEN
1512
                                                        set(use_SP) <= '1';
1513
                                                END IF;
1514
                                        END IF;
1515
                                WHEN "101" =>                           --(d16,An)
1516
                                        next_micro_state <= ld_dAn1;
1517
                                WHEN "110" =>                           --(d8,An,Xn)
1518
                                        next_micro_state <= ld_AnXn1;
1519
                                        getbrief <='1';
1520
                                WHEN "111" =>
1521
                                        CASE opcode(2 downto 0) IS
1522
                                                WHEN "000" =>                           --(xxxx).w
1523
                                                        next_micro_state <= ld_nn;
1524
                                                WHEN "001" =>                           --(xxxx).l
1525
                                                        set(longaktion) <= '1';
1526
                                                        next_micro_state <= ld_nn;
1527
                                                WHEN "010" =>                           --(d16,PC)
1528
                                                        next_micro_state <= ld_dAn1;
1529
                                                        set(dispouter) <= '1';
1530
                                                        set_Suppress_Base <= '1';
1531
                                                        set_PCbase <= '1';
1532
                                                WHEN "011" =>                           --(d8,PC,Xn)
1533
                                                        next_micro_state <= ld_AnXn1;
1534
                                                        getbrief <= '1';
1535
                                                        set(dispouter) <= '1';
1536
                                                        set_Suppress_Base <= '1';
1537
                                                        set_PCbase <= '1';
1538
                                                WHEN "100" =>                           --#data
1539
                                                        setnextpass <= '1';
1540
                                                        set_direct_data <= '1';
1541
                                                        IF datatype="10" THEN
1542
                                                                set(longaktion) <= '1';
1543
                                                        END IF;
1544
                                                WHEN OTHERS => NULL;
1545
                                        END CASE;
1546
                                WHEN OTHERS => NULL;
1547
                        END CASE;
1548
                END IF;
1549
------------------------------------------------------------------------------
1550
--prepere opcode
1551
------------------------------------------------------------------------------          
1552
                CASE opcode(15 downto 12) IS
1553
-- 0000 ----------------------------------------------------------------------------            
1554
                        WHEN "0000" =>
1555
                        IF opcode(8)='1' AND opcode(5 downto 3)="001" THEN --movep
1556
                                datatype <= "00";                               --Byte
1557
                                set(use_SP) <= '1';             --addr+2
1558
                                set(no_Flags) <='1';
1559
                                IF opcode(7)='0' THEN  --to register
1560
                                        set_exec(Regwrena) <= '1';
1561
                                        set_exec(opcMOVE) <= '1';
1562
                                        set(movepl) <= '1';
1563
                                END IF;
1564
                                IF decodeOPC='1' THEN
1565
                                        IF opcode(6)='1' THEN
1566
                                                set(movepl) <= '1';
1567
                                        END IF;
1568
                                        IF opcode(7)='0' THEN
1569
                                                set_direct_data <= '1';         -- to register
1570
                                        END IF;
1571
                                        next_micro_state <= movep1;
1572
                                END IF;
1573
                                IF setexecOPC='1' THEN
1574
                                        dest_hbits <='1';
1575
                                END IF;
1576
                        ELSE
1577
                                IF opcode(8)='1' OR opcode(11 downto 9)="100" THEN              --Bits
1578
                                        set_exec(opcBITS) <= '1';
1579
                                        set_exec(ea_data_OP1) <= '1';
1580
                                        IF opcode(7 downto 6)/="00" THEN
1581
                                                IF opcode(5 downto 4)="00" THEN
1582
                                                        set_exec(Regwrena) <= '1';
1583
                                                END IF;
1584
                                                write_back <= '1';
1585
                                        END IF;
1586
                                        IF opcode(5 downto 4)="00" THEN
1587
                                                datatype <= "10";                       --Long
1588
                                        ELSE
1589
                                                datatype <= "00";                       --Byte
1590
                                        END IF;
1591
                                        IF opcode(8)='0' THEN
1592
                                                IF decodeOPC='1' THEN
1593
                                                        next_micro_state <= nop;
1594
                                                        set(get_2ndOPC) <= '1';
1595
                                                        set(ea_build) <= '1';
1596
                                                END IF;
1597
                                        ELSE
1598
                                                ea_build_now <= '1';
1599
                                        END IF;
1600
                                ELSIF opcode(11 downto 9)="111" THEN            --MOVES not in 68000
1601
                                        trap_illegal <= '1';
1602
--                                      trap_addr_error <= '1';
1603
                                        trapmake <= '1';
1604
                                ELSE                                                            --andi, ...xxxi 
1605
                                        IF opcode(11 downto 9)="000" THEN       --ORI
1606
                                                set_exec(opcOR) <= '1';
1607
                                        END IF;
1608
                                        IF opcode(11 downto 9)="001" THEN       --ANDI
1609
                                                set_exec(opcAND) <= '1';
1610
                                        END IF;
1611
                                        IF opcode(11 downto 9)="010" OR opcode(11 downto 9)="011" THEN  --SUBI, ADDI
1612
                                                set_exec(opcADD) <= '1';
1613
                                        END IF;
1614
                                        IF opcode(11 downto 9)="101" THEN       --EORI
1615
                                                set_exec(opcEOR) <= '1';
1616
                                        END IF;
1617
                                        IF opcode(11 downto 9)="110" THEN       --CMPI
1618
                                                set_exec(opcCMP) <= '1';
1619
                                        END IF;
1620
                                        IF opcode(7)='0' AND opcode(5 downto 0)="111100" AND (set_exec(opcAND) OR set_exec(opcOR) OR set_exec(opcEOR))='1' THEN           --SR
1621
                                                IF decodeOPC='1' AND SVmode='0' AND opcode(6)='1' THEN  --SR
1622
                                                        trap_priv <= '1';
1623
                                                        trapmake <= '1';
1624
                                                ELSE
1625
                                                        set(no_Flags) <= '1';
1626
                                                        IF decodeOPC='1' THEN
1627
                                                                IF opcode(6)='1' THEN
1628
                                                                        set(to_SR) <= '1';
1629
                                                                END IF;
1630
                                                                set(to_CCR) <= '1';
1631
                                                                set(andiSR) <= set_exec(opcAND);
1632
                                                                set(eoriSR) <= set_exec(opcEOR);
1633
                                                                set(oriSR) <= set_exec(opcOR);
1634
                                                                setstate <= "01";
1635
                                                                next_micro_state <= nopnop;
1636
                                                        END IF;
1637
                                                END IF;
1638
                                        ELSE
1639
                                                IF decodeOPC='1' THEN
1640
                                                        next_micro_state <= andi;
1641
                                                        set(get_2ndOPC) <='1';
1642
                                                        set(ea_build) <= '1';
1643
                                                        set_direct_data <= '1';
1644
                                                        IF datatype="10" THEN
1645
                                                                set(longaktion) <= '1';
1646
                                                        END IF;
1647
                                                END IF;
1648
                                                IF opcode(5 downto 4)/="00" THEN
1649
                                                        set_exec(ea_data_OP1) <= '1';
1650
                                                END IF;
1651
                                                IF opcode(11 downto 9)/="110" THEN      --CMPI 
1652
                                                        IF opcode(5 downto 4)="00" THEN
1653
                                                                set_exec(Regwrena) <= '1';
1654
                                                        END IF;
1655
                                                        write_back <= '1';
1656
                                                END IF;
1657
                                                IF opcode(10 downto 9)="10" THEN        --CMPI, SUBI
1658
                                                        set(addsub) <= '1';
1659
                                                END IF;
1660
                                        END IF;
1661
                                END IF;
1662
                        END IF;
1663
 
1664
-- 0001, 0010, 0011 -----------------------------------------------------------------           
1665
                        WHEN "0001"|"0010"|"0011" =>                            --move.b, move.l, move.w
1666
                                set_exec(opcMOVE) <= '1';
1667
                                ea_build_now <= '1';
1668
                                IF opcode(8 downto 6)="001" THEN
1669
                                        set(no_Flags) <= '1';
1670
                                END IF;
1671
                                IF opcode(5 downto 4)="00" THEN --Dn, An
1672
                                        IF opcode(8 downto 7)="00" THEN
1673
                                                set_exec(Regwrena) <= '1';
1674
                                        END IF;
1675
                                END IF;
1676
                                CASE opcode(13 downto 12) IS
1677
                                        WHEN "01" => datatype <= "00";          --Byte
1678
                                        WHEN "10" => datatype <= "10";          --Long
1679
                                        WHEN OTHERS => datatype <= "01";        --Word
1680
                                END CASE;
1681
                                source_lowbits <= '1';                                  -- Dn=>  An=>
1682
                                IF opcode(3)='1' THEN
1683
                                        source_areg <= '1';
1684
                                END IF;
1685
 
1686
                                IF nextpass='1' OR opcode(5 downto 4)="00" THEN
1687
                                        dest_hbits <= '1';
1688
                                        IF opcode(8 downto 6)/="000" THEN
1689
                                                dest_areg <= '1';
1690
                                        END IF;
1691
                                END IF;
1692
--                              IF setstate="10" THEN
1693
--                                      set(update_ld) <= '0';
1694
--                              END IF;
1695
--
1696
                                IF micro_state=idle AND (nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1')) THEN
1697
                                        CASE opcode(8 downto 6) IS              --destination
1698
                                                WHEN "000"|"001" =>                                             --Dn,An
1699
                                                                set_exec(Regwrena) <= '1';
1700
                                                WHEN "010"|"011"|"100" =>                                       --destination -(an)+
1701
                                                        IF opcode(6)='1' THEN   --(An)+
1702
                                                                set(postadd) <= '1';
1703
                                                                IF opcode(11 downto 9)="111" THEN
1704
                                                                        set(use_SP) <= '1';
1705
                                                                END IF;
1706
                                                        END IF;
1707
                                                        IF opcode(8)='1' THEN   -- -(An)
1708
                                                                set(presub) <= '1';
1709
                                                                IF opcode(11 downto 9)="111" THEN
1710
                                                                        set(use_SP) <= '1';
1711
                                                                END IF;
1712
                                                        END IF;
1713
                                                        setstate <= "11";
1714
                                                        next_micro_state <= nop;
1715
                                                        IF nextpass='0' THEN
1716
                                                                set(write_reg) <= '1';
1717
                                                        END IF;
1718
                                                WHEN "101" =>                           --(d16,An)
1719
                                                        next_micro_state <= st_dAn1;
1720
--                                                      getbrief <= '1';
1721
                                                WHEN "110" =>                           --(d8,An,Xn)
1722
                                                        next_micro_state <= st_AnXn1;
1723
                                                        getbrief <= '1';
1724
                                                WHEN "111" =>
1725
                                                        CASE opcode(11 downto 9) IS
1726
                                                                WHEN "000" =>                           --(xxxx).w
1727
                                                                        next_micro_state <= st_nn;
1728
                                                                WHEN "001" =>                           --(xxxx).l
1729
                                                                        set(longaktion) <= '1';
1730
                                                                        next_micro_state <= st_nn;
1731
                                                                WHEN OTHERS => NULL;
1732
                                                        END CASE;
1733
                                                WHEN OTHERS => NULL;
1734
                                        END CASE;
1735
                                END IF;
1736
---- 0100 ----------------------------------------------------------------------------          
1737
                        WHEN "0100" =>                          --rts_group
1738
                                IF opcode(8)='1' THEN           --lea
1739
                                        IF opcode(6)='1' THEN           --lea
1740
                                                IF opcode(7)='1' THEN
1741
                                                        source_lowbits <= '1';
1742
--                                                      IF opcode(5 downto 3)="000" AND opcode(10)='0' THEN             --ext
1743
                                                        IF opcode(5 downto 4)="00" THEN         --extb.l
1744
                                                                set_exec(opcEXT) <= '1';
1745
                                                                set_exec(opcMOVE) <= '1';
1746
                                                                set_exec(Regwrena) <= '1';
1747
--                                                              IF opcode(6)='0' THEN
1748
--                                                                      datatype <= "01";               --WORD
1749
--                                                              END IF;
1750
                                                        ELSE
1751
                                                                source_areg <= '1';
1752
                                                                ea_only <= '1';
1753
                                                                set_exec(Regwrena) <= '1';
1754
                                                                set_exec(opcMOVE) <='1';
1755
                                                                set(no_Flags) <='1';
1756
                                                                IF opcode(5 downto 3)="010" THEN        --lea (Am),An
1757
                                                                        dest_areg <= '1';
1758
                                                                        dest_hbits <= '1';
1759
                                                                ELSE
1760
                                                                        ea_build_now <= '1';
1761
                                                                END IF;
1762
                                                                IF set(get_ea_now)='1' THEN
1763
                                                                        setstate <= "01";
1764
                                                                        set_direct_data <= '1';
1765
                                                                END IF;
1766
                                                                IF setexecOPC='1' THEN
1767
                                                                        dest_areg <= '1';
1768
                                                                        dest_hbits <= '1';
1769
                                                                END IF;
1770
                                                        END IF;
1771
                                                ELSE
1772
                                                        trap_illegal <= '1';
1773
                                                        trapmake <= '1';
1774
                                                END IF;
1775
                                        ELSE                                                            --chk
1776
                                                IF opcode(7)='1' THEN
1777
                                                        datatype <= "01";       --Word
1778
                                                                set(trap_chk) <= '1';
1779
                                                        IF (c_out(1)='0' OR OP1out(15)='1' OR OP2out(15)='1') AND exec(opcCHK)='1' THEN
1780
                                                                trapmake <= '1';
1781
                                                        END IF;
1782
                                                ELSIF cpu(1)='1' THEN   --chk long for 68020
1783
                                                        datatype <= "10";       --Long
1784
                                                                set(trap_chk) <= '1';
1785
                                                        IF (c_out(2)='1' OR OP1out(31)='1' OR OP2out(31)='1') AND exec(opcCHK)='1' THEN
1786
                                                                trapmake <= '1';
1787
                                                        END IF;
1788
                                                ELSE
1789
                                                        trap_illegal <= '1';            -- chk long for 68020
1790
                                                        trapmake <= '1';
1791
                                                END IF;
1792
                                                IF opcode(7)='1' OR cpu(1)='1' THEN
1793
                                                        IF (nextpass='1' OR opcode(5 downto 4)="00") AND exec(opcCHK)='0' AND micro_state=idle THEN
1794
                                                                set_exec(opcCHK) <= '1';
1795
                                                        END IF;
1796
                                                        ea_build_now <= '1';
1797
                                                        set(addsub) <= '1';
1798
                                                        IF setexecOPC='1' THEN
1799
                                                                dest_hbits <= '1';
1800
                                                                source_lowbits <='1';
1801
                                                        END IF;
1802
                                                END IF;
1803
                                        END IF;
1804
                                ELSE
1805
                                        CASE opcode(11 downto 9) IS
1806
                                                WHEN "000"=>
1807
                                                        IF opcode(7 downto 6)="11" THEN                                 --move from SR
1808
                                                                IF SR_Read=0 OR (cpu(0)='0' AND SR_Read=2) OR SVmode='1'  THEN
1809
                                                                        ea_build_now <= '1';
1810
                                                                        set_exec(opcMOVESR) <= '1';
1811
                                                                        datatype <= "01";
1812
                                                                        write_back <='1';                                                       -- im 68000 wird auch erst gelesen
1813
                                                                        IF cpu(0)='1' AND state="10" THEN
1814
                                                                                skipFetch <= '1';
1815
                                                                        END IF;
1816
                                                                        IF opcode(5 downto 4)="00" THEN
1817
                                                                                set_exec(Regwrena) <= '1';
1818
                                                                        END IF;
1819
                                                                ELSE
1820
                                                                        trap_priv <= '1';
1821
                                                                        trapmake <= '1';
1822
                                                                END IF;
1823
                                                        ELSE                                                                    --negx
1824
                                                                ea_build_now <= '1';
1825
                                                                set_exec(use_XZFlag) <= '1';
1826
                                                                write_back <='1';
1827
                                                                set_exec(opcADD) <= '1';
1828
                                                                set(addsub) <= '1';
1829
                                                                source_lowbits <= '1';
1830
                                                                IF opcode(5 downto 4)="00" THEN
1831
                                                                        set_exec(Regwrena) <= '1';
1832
                                                                END IF;
1833
                                                                IF setexecOPC='1' THEN
1834
                                                                        set(OP1out_zero) <= '1';
1835
                                                                END IF;
1836
                                                        END IF;
1837
                                                WHEN "001"=>
1838
                                                        IF opcode(7 downto 6)="11" THEN                                 --move from CCR 68010
1839
                                                                IF SR_Read=1 OR (cpu(0)='1' AND SR_Read=2) THEN
1840
                                                                        ea_build_now <= '1';
1841
                                                                        set_exec(opcMOVESR) <= '1';
1842
                                                                        datatype <= "01";
1843
                                                                        write_back <='1';                                                       -- im 68000 wird auch erst gelesen
1844
--                                                                      IF state="10" THEN
1845
--                                                                              skipFetch <= '1';
1846
--                                                                      END IF;
1847
                                                                        IF opcode(5 downto 4)="00" THEN
1848
                                                                                set_exec(Regwrena) <= '1';
1849
                                                                        END IF;
1850
                                                                ELSE
1851
                                                                        trap_illegal <= '1';
1852
                                                                        trapmake <= '1';
1853
                                                                END IF;
1854
                                                        ELSE                                                                                    --clr
1855
                                                                ea_build_now <= '1';
1856
                                                                write_back <='1';
1857
                                                                set_exec(opcAND) <= '1';
1858
                                                        IF cpu(0)='1' AND state="10" THEN
1859
                                                                skipFetch <= '1';
1860
                                                        END IF;
1861
                                                                IF setexecOPC='1' THEN
1862
                                                                        set(OP1out_zero) <= '1';
1863
                                                                END IF;
1864
                                                                IF opcode(5 downto 4)="00" THEN
1865
                                                                        set_exec(Regwrena) <= '1';
1866
                                                                END IF;
1867
                                                        END IF;
1868
                                                WHEN "010"=>
1869
                                                        ea_build_now <= '1';
1870
                                                        IF opcode(7 downto 6)="11" THEN                                 --move to CCR
1871
                                                                datatype <= "01";
1872
                                                                source_lowbits <= '1';
1873
                                                                IF (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1874
                                                                        set(to_CCR) <= '1';
1875
                                                                END IF;
1876
                                                        ELSE                                                                                    --neg
1877
                                                                write_back <='1';
1878
                                                                set_exec(opcADD) <= '1';
1879
                                                                set(addsub) <= '1';
1880
                                                                source_lowbits <= '1';
1881
                                                                IF opcode(5 downto 4)="00" THEN
1882
                                                                        set_exec(Regwrena) <= '1';
1883
                                                                END IF;
1884
                                                                IF setexecOPC='1' THEN
1885
                                                                        set(OP1out_zero) <= '1';
1886
                                                                END IF;
1887
                                                        END IF;
1888
                                                WHEN "011"=>                                                                            --not, move toSR
1889
                                                        IF opcode(7 downto 6)="11" THEN                                 --move to SR
1890
                                                                IF SVmode='1' THEN
1891
                                                                        ea_build_now <= '1';
1892
                                                                        datatype <= "01";
1893
                                                                        source_lowbits <= '1';
1894
                                                                        IF (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1895
                                                                                set(to_SR) <= '1';
1896
                                                                                set(to_CCR) <= '1';
1897
                                                                        END IF;
1898
                                                                        IF exec(to_SR)='1' OR (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1899
                                                                                setstate <="01";
1900
                                                                        END IF;
1901
                                                                ELSE
1902
                                                                        trap_priv <= '1';
1903
                                                                        trapmake <= '1';
1904
                                                                END IF;
1905
                                                        ELSE                                                                                    --not
1906
                                                                ea_build_now <= '1';
1907
                                                                write_back <='1';
1908
                                                                set_exec(opcEOR) <= '1';
1909
                                                                set_exec(ea_data_OP1) <= '1';
1910
                                                                IF opcode(5 downto 3)="000" THEN
1911
                                                                        set_exec(Regwrena) <= '1';
1912
                                                                END IF;
1913
                                                                IF setexecOPC='1' THEN
1914
                                                                        set(OP2out_one) <= '1';
1915
                                                                END IF;
1916
                                                        END IF;
1917
                                                WHEN "100"|"110"=>
1918
                                                        IF opcode(7)='1' THEN                   --movem, ext
1919
                                                                IF opcode(5 downto 3)="000" AND opcode(10)='0' THEN              --ext
1920
                                                                        source_lowbits <= '1';
1921
                                                                        set_exec(opcEXT) <= '1';
1922
                                                                        set_exec(opcMOVE) <= '1';
1923
                                                                        set_exec(Regwrena) <= '1';
1924
                                                                        IF opcode(6)='0' THEN
1925
                                                                                datatype <= "01";               --WORD
1926
                                                                        END IF;
1927
                                                                ELSE                                                                                                    --movem
1928
--                                                              IF opcode(11 downto 7)="10001" OR opcode(11 downto 7)="11001" THEN      --MOVEM
1929
                                                                        ea_only <= '1';
1930
                                                                        set(no_Flags) <= '1';
1931
                                                                        IF opcode(6)='0' THEN
1932
                                                                                datatype <= "01";               --Word transfer
1933
                                                                        END IF;
1934
                                                                        IF (opcode(5 downto 3)="100" OR opcode(5 downto 3)="011") AND state="01" THEN   -- -(An), (An)+
1935
                                                                                set_exec(save_memaddr) <= '1';
1936
                                                                                set_exec(Regwrena) <= '1';
1937
                                                                        END IF;
1938
                                                                        IF opcode(5 downto 3)="100" THEN        -- -(An)
1939
                                                                                movem_presub <= '1';
1940
                                                                                set(subidx) <= '1';
1941
                                                                        END IF;
1942
                                                                        IF state="10" THEN
1943
                                                                                set(Regwrena) <= '1';
1944
                                                                                set(opcMOVE) <= '1';
1945
                                                                        END IF;
1946
                                                                        IF decodeOPC='1' THEN
1947
                                                                                set(get_2ndOPC) <='1';
1948
                                                                                IF opcode(5 downto 3)="010" OR opcode(5 downto 3)="011" OR opcode(5 downto 3)="100" THEN
1949
                                                                                        next_micro_state <= movem1;
1950
                                                                                ELSE
1951
                                                                                        next_micro_state <= nop;
1952
                                                                                        set(ea_build) <= '1';
1953
                                                                                END IF;
1954
                                                                        END IF;
1955
                                                                        IF set(get_ea_now)='1' THEN
1956
                                                                                IF movem_run='1' THEN
1957
                                                                                        set(movem_action) <= '1';
1958
                                                                                        IF opcode(10)='0' THEN
1959
                                                                                                setstate <="11";
1960
                                                                                                set(write_reg) <= '1';
1961
                                                                                        ELSE
1962
                                                                                                setstate <="10";
1963
                                                                                        END IF;
1964
                                                                                        next_micro_state <= movem2;
1965
                                                                                        set(mem_addsub) <= '1';
1966
                                                                                ELSE
1967
                                                                                        setstate <="01";
1968
                                                                                END IF;
1969
                                                                        END IF;
1970
                                                                END IF;
1971
                                                        ELSE
1972
                                                                IF opcode(10)='1' THEN                                          --MUL.L, DIV.L 68020
1973
         --FPGA Multiplier for long                                                     
1974
                                                                        IF MUL_Hardware=1 AND (opcode(6)='0' AND (MUL_Mode=1 OR (cpu(1)='1' AND MUL_Mode=2))) THEN
1975
                                                                                IF decodeOPC='1' THEN
1976
                                                                                        next_micro_state <= nop;
1977
                                                                                        set(get_2ndOPC) <= '1';
1978
                                                                                        set(ea_build) <= '1';
1979
                                                                                END IF;
1980
                                                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND exec(ea_build)='1') THEN
1981
                                                                                        dest_2ndHbits <= '1';
1982
                                                                                        datatype <= "10";
1983
                                                                                        set(opcMULU) <= '1';
1984
                                                                                        set(write_lowlong) <= '1';
1985
                                                                                        IF sndOPC(10)='1' THEN
1986
                                                                                                setstate <="01";
1987
                                                                                                next_micro_state <= mul_end2;
1988
                                                                                        END IF;
1989
                                                                                        set(Regwrena) <= '1';
1990
                                                                                END IF;
1991
                                                                                source_lowbits <='1';
1992
                                                                                datatype <= "10";
1993
 
1994
         --no FPGA Multplier                                            
1995
                                                                        ELSIF (opcode(6)='1' AND (DIV_Mode=1 OR (cpu(1)='1' AND DIV_Mode=2))) OR
1996
                                                                           (opcode(6)='0' AND (MUL_Mode=1 OR (cpu(1)='1' AND MUL_Mode=2))) THEN
1997
                                                                                IF decodeOPC='1' THEN
1998
                                                                                        next_micro_state <= nop;
1999
                                                                                        set(get_2ndOPC) <= '1';
2000
                                                                                        set(ea_build) <= '1';
2001
                                                                                END IF;
2002
                                                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND exec(ea_build)='1')THEN
2003
                                                                                        setstate <="01";
2004
                                                                                        dest_2ndHbits <= '1';
2005
                                                                                        source_2ndLbits <= '1';
2006
                                                                                        IF opcode(6)='1' THEN
2007
                                                                                                next_micro_state <= div1;
2008
                                                                                        ELSE
2009
                                                                                                next_micro_state <= mul1;
2010
                                                                                                set(ld_rot_cnt) <= '1';
2011
                                                                                        END IF;
2012
                                                                                END IF;
2013
                                                                                IF z_error='0' AND set_V_Flag='0' AND set(opcDIVU)='1' THEN
2014
                                                                                        set(Regwrena) <= '1';
2015
                                                                                END IF;
2016
                                                                                source_lowbits <='1';
2017
                                                                                IF nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2018
                                                                                        dest_hbits <= '1';
2019
                                                                                END IF;
2020
                                                                                datatype <= "10";
2021
                                                                        ELSE
2022
                                                                                trap_illegal <= '1';
2023
                                                                                trapmake <= '1';
2024
                                                                        END IF;
2025
 
2026
                                                                ELSE                                                    --pea, swap
2027
                                                                        IF opcode(6)='1' THEN
2028
                                                                                datatype <= "10";
2029
                                                                                IF opcode(5 downto 3)="000" THEN                --swap
2030
                                                                                        set_exec(opcSWAP) <= '1';
2031
                                                                                        set_exec(Regwrena) <= '1';
2032
                                                                                ELSIF opcode(5 downto 3)="001" THEN             --bkpt
2033 7 tobiflex
                                                                                        trap_illegal <= '1';
2034
                                                                                        trapmake <= '1';
2035 2 tobiflex
                                                                                ELSE                                                                    --pea
2036
                                                                                        ea_only <= '1';
2037
                                                                                        ea_build_now <= '1';
2038
                                                                                        IF nextpass='1' AND micro_state=idle THEN
2039
                                                                                                set(presub) <= '1';
2040
                                                                                                setstackaddr <='1';
2041
                                                                                                setstate <="11";
2042
                                                                                                next_micro_state <= nop;
2043
                                                                                        END IF;
2044
                                                                                        IF set(get_ea_now)='1' THEN
2045
                                                                                                setstate <="01";
2046
                                                                                        END IF;
2047
                                                                                END IF;
2048
                                                                        ELSE
2049
                                                                                IF opcode(5 downto 3)="001" THEN --link.l
2050
                                                                                        datatype <= "10";
2051
                                                                                        set_exec(opcADD) <= '1';                                                --for displacement
2052
                                                                                        set_exec(Regwrena) <= '1';
2053
                                                                                        set(no_Flags) <= '1';
2054
                                                                                        IF decodeOPC='1' THEN
2055
                                                                                                set(linksp) <= '1';
2056
                                                                                                set(longaktion) <= '1';
2057
                                                                                                next_micro_state <= link1;
2058
                                                                                                set(presub) <= '1';
2059
                                                                                                setstackaddr <='1';
2060
                                                                                                set(mem_addsub) <= '1';
2061
                                                                                                source_lowbits <= '1';
2062
                                                                                                source_areg <= '1';
2063
                                                                                                set(store_ea_data) <= '1';
2064
                                                                                        END IF;
2065
                                                                                ELSE                                            --nbcd  
2066
                                                                                        ea_build_now <= '1';
2067
                                                                                        set_exec(use_XZFlag) <= '1';
2068
                                                                                        write_back <='1';
2069
                                                                                        set_exec(opcADD) <= '1';
2070
                                                                                        set_exec(opcSBCD) <= '1';
2071
                                                                                        set(addsub) <= '1';
2072
                                                                                        source_lowbits <= '1';
2073
                                                                                        IF opcode(5 downto 4)="00" THEN
2074
                                                                                                set_exec(Regwrena) <= '1';
2075
                                                                                        END IF;
2076
                                                                                        IF setexecOPC='1' THEN
2077
                                                                                                set(OP1out_zero) <= '1';
2078
                                                                                        END IF;
2079
                                                                                END IF;
2080
                                                                        END IF;
2081
                                                                END IF;
2082
                                                        END IF;
2083
--0x4AXX                                                        
2084
                                                WHEN "101"=>                                            --tst, tas  4aFC - illegal
2085
--                                                      IF opcode(7 downto 2)="111111" THEN   --illegal
2086
                                                        IF opcode(7 downto 3)="11111" AND opcode(2 downto 1)/="00" THEN   --0x4AFC illegal  --0x4AFB BKP Sinclair QL
2087
                                                                trap_illegal <= '1';
2088
                                                                trapmake <= '1';
2089
                                                        ELSE
2090
                                                                ea_build_now <= '1';
2091
                                                                IF setexecOPC='1' THEN
2092
                                                                        source_lowbits <= '1';
2093
                                                                        IF opcode(3)='1' THEN                   --MC68020...
2094
                                                                                source_areg <= '1';
2095
                                                                        END IF;
2096
                                                                END IF;
2097
                                                                set_exec(opcMOVE) <= '1';
2098
                                                                IF opcode(7 downto 6)="11" THEN         --tas
2099
                                                                        set_exec_tas <= '1';
2100
                                                                        write_back <= '1';
2101
                                                                        datatype <= "00";                               --Byte
2102
                                                                        IF opcode(5 downto 4)="00" THEN
2103
                                                                                set_exec(Regwrena) <= '1';
2104
                                                                        END IF;
2105
                                                                END IF;
2106
                                                        END IF;
2107
----                                            WHEN "110"=>
2108
                                                WHEN "111"=>                                    --4EXX
2109
--
2110
--                                                                                      ea_only <= '1';
2111
--                                                                                      ea_build_now <= '1';
2112
--                                                                                      IF nextpass='1' AND micro_state=idle THEN
2113
--                                                                                              set(presub) <= '1';
2114
--                                                                                              setstackaddr <='1';
2115
--                                                                                              set(mem_addsub) <= '1';
2116
--                                                                                              setstate <="11";
2117
--                                                                                              next_micro_state <= nop;
2118
--                                                                                      END IF;
2119
--                                                                                      IF set(get_ea_now)='1' THEN
2120
--                                                                                              setstate <="01";
2121
--                                                                                      END IF;
2122
--                                                              
2123
 
2124
 
2125
 
2126
                                                        IF opcode(7)='1' THEN           --jsr, jmp
2127
                                                                datatype <= "10";
2128
                                                                ea_only <= '1';
2129
                                                                ea_build_now <= '1';
2130
                                                                IF exec(ea_to_pc)='1' THEN
2131
                                                                        next_micro_state <= nop;
2132
                                                                END IF;
2133
                                                                IF nextpass='1' AND micro_state=idle AND opcode(6)='0' THEN
2134
                                                                        set(presub) <= '1';
2135
                                                                        setstackaddr <='1';
2136
                                                                        setstate <="11";
2137
                                                                        next_micro_state <= nopnop;
2138
                                                                END IF;
2139
-- achtung buggefahr                                                            
2140
                                                                IF micro_state=ld_AnXn1 AND brief(8)='0'THEN                     --JMP/JSR n(Ax,Dn)
2141
                                                                        skipFetch <= '1';
2142
                                                                END IF;
2143
                                                                IF state="00" THEN
2144
                                                                        writePC <= '1';
2145
                                                                END IF;
2146
                                                                set(hold_dwr) <= '1';
2147
                                                                IF set(get_ea_now)='1' THEN                                     --jsr
2148
                                                                        IF exec(longaktion)='0' OR long_done='1' THEN
2149
                                                                                skipFetch <= '1';
2150
                                                                        END IF;
2151
                                                                        setstate <="01";
2152
                                                                        set(ea_to_pc) <= '1';
2153
                                                                END IF;
2154
                                                        ELSE                                            --
2155
                                                                CASE opcode(6 downto 0) IS
2156
                                                                        WHEN "1000000"|"1000001"|"1000010"|"1000011"|"1000100"|"1000101"|"1000110"|"1000111"|           --trap
2157
                                                                             "1001000"|"1001001"|"1001010"|"1001011"|"1001100"|"1001101"|"1001110"|"1001111" =>         --trap
2158
                                                                                        trap_trap <='1';
2159
                                                                                        trapmake <= '1';
2160
                                                                        WHEN "1010000"|"1010001"|"1010010"|"1010011"|"1010100"|"1010101"|"1010110"|"1010111"=>          --link word
2161
                                                                                datatype <= "10";
2162
                                                                                set_exec(opcADD) <= '1';                                                --for displacement
2163
                                                                                set_exec(Regwrena) <= '1';
2164
                                                                                set(no_Flags) <= '1';
2165
                                                                                IF decodeOPC='1' THEN
2166
                                                                                        next_micro_state <= link1;
2167
                                                                                        set(presub) <= '1';
2168
                                                                                        setstackaddr <='1';
2169
                                                                                        set(mem_addsub) <= '1';
2170
                                                                                        source_lowbits <= '1';
2171
                                                                                        source_areg <= '1';
2172
                                                                                        set(store_ea_data) <= '1';
2173
                                                                                END IF;
2174
 
2175
                                                                        WHEN "1011000"|"1011001"|"1011010"|"1011011"|"1011100"|"1011101"|"1011110"|"1011111" =>         --unlink
2176
                                                                                datatype <= "10";
2177
                                                                                set_exec(Regwrena) <= '1';
2178
                                                                                set_exec(opcMOVE) <= '1';
2179
                                                                                set(no_Flags) <= '1';
2180
                                                                                IF decodeOPC='1' THEN
2181
                                                                                        setstate <= "01";
2182
                                                                                        next_micro_state <= unlink1;
2183
                                                                                        set(opcMOVE) <= '1';
2184
                                                                                        set(Regwrena) <= '1';
2185
                                                                                        setstackaddr <='1';
2186
                                                                                        source_lowbits <= '1';
2187
                                                                                        source_areg <= '1';
2188
                                                                                END IF;
2189
 
2190
                                                                        WHEN "1100000"|"1100001"|"1100010"|"1100011"|"1100100"|"1100101"|"1100110"|"1100111" =>         --move An,USP
2191
                                                                                IF SVmode='1' THEN
2192
--                                                                                      set(no_Flags) <= '1';
2193
                                                                                        set(to_USP) <= '1';
2194
                                                                                        source_lowbits <= '1';
2195
                                                                                        source_areg <= '1';
2196
                                                                                        datatype <= "10";
2197
                                                                                ELSE
2198
                                                                                        trap_priv <= '1';
2199
                                                                                        trapmake <= '1';
2200
                                                                                END IF;
2201
                                                                        WHEN "1101000"|"1101001"|"1101010"|"1101011"|"1101100"|"1101101"|"1101110"|"1101111" =>         --move USP,An
2202
                                                                                IF SVmode='1' THEN
2203
--                                                                                      set(no_Flags) <= '1';
2204
                                                                                        set(from_USP) <= '1';
2205
                                                                                        datatype <= "10";
2206
                                                                                        set_exec(Regwrena) <= '1';
2207
                                                                                ELSE
2208
                                                                                        trap_priv <= '1';
2209
                                                                                        trapmake <= '1';
2210
                                                                                END IF;
2211
 
2212
                                                                        WHEN "1110000" =>                                       --reset
2213
                                                                                IF SVmode='0' THEN
2214
                                                                                        trap_priv <= '1';
2215
                                                                                        trapmake <= '1';
2216
                                                                                ELSE
2217
                                                                                        set(opcRESET) <= '1';
2218
                                                                                        IF decodeOPC='1' THEN
2219
                                                                                                set(ld_rot_cnt) <= '1';
2220
                                                                                                set_rot_cnt <= "000000";
2221
                                                                                        END IF;
2222
                                                                                END IF;
2223
 
2224
                                                                        WHEN "1110001" =>                                       --nop
2225
 
2226
                                                                        WHEN "1110010" =>                                       --stop
2227
                                                                                IF SVmode='0' THEN
2228
                                                                                        trap_priv <= '1';
2229
                                                                                        trapmake <= '1';
2230
                                                                                ELSE
2231
                                                                                        IF decodeOPC='1' THEN
2232
                                                                                                setnextpass <= '1';
2233
                                                                                                set_stop <= '1';
2234
                                                                                        END IF;
2235
                                                                                        IF stop='1' THEN
2236
                                                                                                skipFetch <= '1';
2237
                                                                                        END IF;
2238
 
2239
                                                                                END IF;
2240
 
2241
                                                                        WHEN "1110011"|"1110111" =>                                                                     --rte/rtr
2242
                                                                                IF SVmode='1' OR opcode(2)='1' THEN
2243
                                                                                        IF decodeOPC='1' THEN
2244
                                                                                                setstate <= "10";
2245
                                                                                                set(postadd) <= '1';
2246
                                                                                                setstackaddr <= '1';
2247
                                                                                                IF opcode(2)='1' THEN
2248
                                                                                                        set(directCCR) <= '1';
2249
                                                                                                ELSE
2250
                                                                                                        set(directSR) <= '1';
2251
                                                                                                END IF;
2252
                                                                                                next_micro_state <= rte1;
2253
                                                                                        END IF;
2254
                                                                                ELSE
2255
                                                                                        trap_priv <= '1';
2256
                                                                                        trapmake <= '1';
2257
                                                                                END IF;
2258
 
2259
                                                                        WHEN "1110100" =>                                                                       --rtd
2260
                                                                                datatype <= "10";
2261
                                                                                IF decodeOPC='1' THEN
2262
                                                                                        setstate <= "10";
2263
                                                                                        set(postadd) <= '1';
2264
                                                                                        setstackaddr <= '1';
2265
                                                                                        set(direct_delta) <= '1';
2266
                                                                                        set(directPC) <= '1';
2267
                                                                                        set_direct_data <= '1';
2268
                                                                                        next_micro_state <= rtd1;
2269
                                                                                END IF;
2270
 
2271
 
2272
                                                                        WHEN "1110101" =>                                                                       --rts
2273
                                                                                datatype <= "10";
2274
                                                                                IF decodeOPC='1' THEN
2275
                                                                                        setstate <= "10";
2276
                                                                                        set(postadd) <= '1';
2277
                                                                                        setstackaddr <= '1';
2278
                                                                                        set(direct_delta) <= '1';
2279
                                                                                        set(directPC) <= '1';
2280
                                                                                        next_micro_state <= nopnop;
2281
                                                                                END IF;
2282
 
2283
                                                                        WHEN "1110110" =>                                                                       --trapv
2284 8 tobiflex
                                                                                set_exec(opcTRAPV) <= '1';      --TH
2285 2 tobiflex
                                                                                IF decodeOPC='1' THEN
2286
                                                                                        setstate <= "01";
2287
                                                                                END IF;
2288
                                                                                IF Flags(1)='1' AND state="01" THEN
2289
                                                                                        trap_trapv <= '1';
2290
                                                                                        trapmake <= '1';
2291
                                                                                END IF;
2292
 
2293
                                                                        WHEN "1111010"|"1111011" =>                                                                     --movec
2294
                                                                                IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
2295
                                                                                        trap_illegal <= '1';
2296
                                                                                        trapmake <= '1';
2297
                                                                                ELSIF SVmode='0' THEN
2298
                                                                                        trap_priv <= '1';
2299
                                                                                        trapmake <= '1';
2300
                                                                                ELSE
2301
                                                                                        datatype <= "10";       --Long
2302
                                                                                        IF last_data_read(11 downto 0)=X"800" THEN
2303
                                                                                                set(from_USP) <= '1';
2304
                                                                                                IF opcode(0)='1' THEN
2305
                                                                                                        set(to_USP) <= '1';
2306
                                                                                                END IF;
2307
                                                                                        END IF;
2308
                                                                                        IF opcode(0)='0' THEN
2309
                                                                                                set_exec(movec_rd) <= '1';
2310
                                                                                        ELSE
2311
                                                                                                set_exec(movec_wr) <= '1';
2312
                                                                                        END IF;
2313
                                                                                        IF decodeOPC='1' THEN
2314
                                                                                                next_micro_state <= movec1;
2315
                                                                                                getbrief <='1';
2316
                                                                                        END IF;
2317
                                                                                END IF;
2318
 
2319
                                                                        WHEN OTHERS =>
2320
                                                                                trap_illegal <= '1';
2321
                                                                                trapmake <= '1';
2322
                                                                END CASE;
2323
                                                        END IF;
2324
                                                WHEN OTHERS => NULL;
2325
                                        END CASE;
2326
                                END IF;
2327
--                                      
2328
---- 0101 ----------------------------------------------------------------------------          
2329
                        WHEN "0101" =>                                                          --subq, addq    
2330
 
2331
                                        IF opcode(7 downto 6)="11" THEN --dbcc
2332
                                                IF opcode(5 downto 3)="001" THEN --dbcc
2333
                                                        IF decodeOPC='1' THEN
2334
                                                                next_micro_state <= dbcc1;
2335
                                                                set(OP2out_one) <= '1';
2336
                                                                data_is_source <= '1';
2337
                                                        END IF;
2338
                                                ELSE                            --Scc
2339
                                                        datatype <= "00";                       --Byte
2340
                                                        ea_build_now <= '1';
2341
                                                        write_back <= '1';
2342
                                                        set_exec(opcScc) <= '1';
2343
                                                        IF cpu(0)='1' AND state="10" THEN
2344
                                                                skipFetch <= '1';
2345
                                                        END IF;
2346
                                                        IF opcode(5 downto 4)="00" THEN
2347
                                                                set_exec(Regwrena) <= '1';
2348
                                                        END IF;
2349
                                                END IF;
2350
                                        ELSE                                    --addq, subq
2351
                                                ea_build_now <= '1';
2352
                                                IF opcode(5 downto 3)="001" THEN
2353
                                                        set(no_Flags) <= '1';
2354
                                                END IF;
2355
                                                IF opcode(8)='1' THEN
2356
                                                        set(addsub) <= '1';
2357
                                                END IF;
2358
                                                write_back <= '1';
2359
                                                set_exec(opcADDQ) <= '1';
2360
                                                set_exec(opcADD) <= '1';
2361
                                                set_exec(ea_data_OP1) <= '1';
2362
                                                IF opcode(5 downto 4)="00" THEN
2363
                                                        set_exec(Regwrena) <= '1';
2364
                                                END IF;
2365
                                        END IF;
2366
--                              
2367
---- 0110 ----------------------------------------------------------------------------          
2368
                        WHEN "0110" =>                          --bra,bsr,bcc
2369
                                datatype <= "10";
2370
 
2371
                                IF micro_state=idle THEN
2372
                                        IF opcode(11 downto 8)="0001" THEN              --bsr
2373
                                                set(presub) <= '1';
2374
                                                setstackaddr <='1';
2375
                                                IF opcode(7 downto 0)="11111111" THEN
2376
                                                        next_micro_state <= bsr2;
2377
                                                        set(longaktion) <= '1';
2378
                                                ELSIF opcode(7 downto 0)="00000000" THEN
2379
                                                        next_micro_state <= bsr2;
2380
                                                ELSE
2381
                                                        next_micro_state <= bsr1;
2382
                                                        setstate <= "11";
2383
                                                        writePC <= '1';
2384
                                                END IF;
2385
                                        ELSE                                                                    --bra
2386
                                                IF opcode(7 downto 0)="11111111" THEN
2387
                                                        next_micro_state <= bra1;
2388
                                                        set(longaktion) <= '1';
2389
                                                ELSIF opcode(7 downto 0)="00000000" THEN
2390
                                                        next_micro_state <= bra1;
2391
                                                ELSE
2392
                                                        setstate <= "01";
2393
                                                        next_micro_state <= bra1;
2394
                                                END IF;
2395
                                        END IF;
2396
                                END IF;
2397
 
2398
-- 0111 ----------------------------------------------------------------------------            
2399
                        WHEN "0111" =>                          --moveq
2400
                                        datatype <= "10";               --Long
2401
                                        set_exec(Regwrena) <= '1';
2402
                                        set_exec(opcMOVEQ) <= '1';
2403
                                        set_exec(opcMOVE) <= '1';
2404
                                        dest_hbits <= '1';
2405
 
2406
---- 1000 ----------------------------------------------------------------------------          
2407
                        WHEN "1000" =>                                                          --or    
2408
                                IF opcode(7 downto 6)="11" THEN --divu, divs
2409
                                        IF DIV_Mode/=3 THEN
2410
                                                IF opcode(5 downto 4)="00" THEN --Dn, An
2411
                                                        regdirectsource <= '1';
2412
                                                END IF;
2413
                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2414
                                                        setstate <="01";
2415
                                                        next_micro_state <= div1;
2416
                                                END IF;
2417
                                                ea_build_now <= '1';
2418
                                                IF z_error='0' AND set_V_Flag='0' THEN
2419
                                                        set_exec(Regwrena) <= '1';
2420
                                                END IF;
2421
                                                        source_lowbits <='1';
2422
                                                IF nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2423
                                                        dest_hbits <= '1';
2424
                                                END IF;
2425
                                                datatype <= "01";
2426
                                        ELSE
2427
                                                trap_illegal <= '1';
2428
                                                trapmake <= '1';
2429
                                        END IF;
2430
 
2431
                                ELSIF opcode(8)='1' AND opcode(5 downto 4)="00" THEN    --sbcd, pack , unpack
2432
                                        IF opcode(7 downto 6)="00" THEN --sbcd
2433
                                                build_bcd <= '1';
2434
                                                set_exec(opcADD) <= '1';
2435
                                                set_exec(opcSBCD) <= '1';
2436
                                                set(addsub) <= '1';
2437
                                        ELSIF opcode(7 downto 6)="01" OR opcode(7 downto 6)="10" THEN   --pack , unpack
2438
                                                set_exec(ea_data_OP1) <= '1';
2439
                                                set(no_Flags) <= '1';
2440
                                                source_lowbits <='1';
2441
                                                IF opcode(7 downto 6) = "01" THEN       --pack
2442
                                                        set_exec(opcPACK) <= '1';
2443
                                                        datatype <= "01";                               --Word
2444
                                                ELSE                                                            --unpk
2445
                                                        set_exec(opcUNPACK) <= '1';
2446
                                                        datatype <= "00";                               --Byte
2447
                                                END IF;
2448
                                                IF opcode(3)='0' THEN
2449
                                                        IF opcode(7 downto 6) = "01" THEN       --pack
2450
                                                                set_datatype <= "00";           --Byte
2451
                                                        ELSE                                                            --unpk
2452
                                                                set_datatype <= "01";           --Word
2453
                                                        END IF;
2454
                                                        set_exec(Regwrena) <= '1';
2455
                                                        dest_hbits <= '1';
2456
                                                        IF decodeOPC='1' THEN
2457
                                                                next_micro_state <= nop;
2458
--                                                              set_direct_data <= '1';
2459
                                                                set(store_ea_packdata) <= '1';
2460
                                                                set(store_ea_data) <= '1';
2461
                                                        END IF;
2462
                                                ELSE                            -- pack -(Ax),-(Ay)
2463
                                                        write_back <= '1';
2464
                                                        IF decodeOPC='1' THEN
2465
                                                                next_micro_state <= pack1;
2466
                                                                set_direct_data <= '1';
2467
                                                        END IF;
2468
                                                END IF;
2469
                                        ELSE
2470
                                                trap_illegal <= '1';
2471
                                                trapmake <= '1';
2472
                                        END IF;
2473
                                ELSE                                                                    --or
2474
                                        set_exec(opcOR) <= '1';
2475
                                        build_logical <= '1';
2476
                                END IF;
2477
 
2478
---- 1001, 1101 -----------------------------------------------------------------------         
2479
                        WHEN "1001"|"1101" =>                                           --sub, add      
2480
                                set_exec(opcADD) <= '1';
2481
                                ea_build_now <= '1';
2482
                                IF opcode(14)='0' THEN
2483
                                        set(addsub) <= '1';
2484
                                END IF;
2485
                                IF opcode(7 downto 6)="11" THEN --      --adda, suba
2486
                                        IF opcode(8)='0' THEN    --adda.w, suba.w
2487
                                                datatype <= "01";       --Word
2488
                                        END IF;
2489
                                        set_exec(Regwrena) <= '1';
2490
                                        source_lowbits <='1';
2491
                                        IF opcode(3)='1' THEN
2492
                                                source_areg <= '1';
2493
                                        END IF;
2494
                                        set(no_Flags) <= '1';
2495
                                        IF setexecOPC='1' THEN
2496
                                                dest_areg <='1';
2497
                                                dest_hbits <= '1';
2498
                                        END IF;
2499
                                ELSE
2500
                                        IF opcode(8)='1' AND opcode(5 downto 4)="00" THEN               --addx, subx
2501
                                                build_bcd <= '1';
2502
                                        ELSE                                                    --sub, add
2503
                                                build_logical <= '1';
2504
                                        END IF;
2505
                                END IF;
2506
 
2507
--                              
2508
---- 1010 ----------------------------------------------------------------------------          
2509
                        WHEN "1010" =>                                                  --Trap 1010
2510
                                trap_1010 <= '1';
2511
                                trapmake <= '1';
2512
---- 1011 ----------------------------------------------------------------------------          
2513
                        WHEN "1011" =>                                                  --eor, cmp
2514
                                ea_build_now <= '1';
2515
                                IF opcode(7 downto 6)="11" THEN --CMPA
2516
                                        IF opcode(8)='0' THEN    --cmpa.w
2517
                                                datatype <= "01";       --Word
2518
                                                set_exec(opcCPMAW) <= '1';
2519
                                        END IF;
2520
                                        set_exec(opcCMP) <= '1';
2521
                                        IF setexecOPC='1' THEN
2522
                                                source_lowbits <='1';
2523
                                                IF opcode(3)='1' THEN
2524
                                                        source_areg <= '1';
2525
                                                END IF;
2526
                                                dest_areg <='1';
2527
                                                dest_hbits <= '1';
2528
                                        END IF;
2529
                                        set(addsub) <= '1';
2530
                                ELSE
2531
                                        IF opcode(8)='1' THEN
2532
                                                IF opcode(5 downto 3)="001" THEN                --cmpm
2533
                                                        set_exec(opcCMP) <= '1';
2534
                                                        IF decodeOPC='1' THEN
2535
                                                                IF opcode(2 downto 0)="111" THEN
2536
                                                                        set(use_SP) <= '1';
2537
                                                                END IF;
2538
                                                                setstate <= "10";
2539
                                                                set(update_ld) <= '1';
2540
                                                                set(postadd) <= '1';
2541
                                                                next_micro_state <= cmpm;
2542
                                                        END IF;
2543
                                                        set_exec(ea_data_OP1) <= '1';
2544
                                                        set(addsub) <= '1';
2545
                                                ELSE                                            --EOR
2546
                                                        build_logical <= '1';
2547
                                                        set_exec(opcEOR) <= '1';
2548
                                                END IF;
2549
                                        ELSE                                                    --CMP
2550
                                                build_logical <= '1';
2551
                                                set_exec(opcCMP) <= '1';
2552
                                                set(addsub) <= '1';
2553
                                        END IF;
2554
                                END IF;
2555
--                              
2556
---- 1100 ----------------------------------------------------------------------------          
2557
                        WHEN "1100" =>                                                          --and, exg
2558
                                IF opcode(7 downto 6)="11" THEN --mulu, muls
2559
                                        IF MUL_Mode/=3 THEN
2560
                                                IF opcode(5 downto 4)="00" THEN --Dn, An
2561
                                                        regdirectsource <= '1';
2562
                                                END IF;
2563
                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2564
                                                        IF MUL_Hardware=0 THEN
2565
                                                                setstate <="01";
2566
                                                                set(ld_rot_cnt) <= '1';
2567
                                                                next_micro_state <= mul1;
2568
                                                        ELSE
2569
                                                                set_exec(write_lowlong) <= '1';
2570
                                                                set_exec(opcMULU) <= '1';
2571
                                                        END IF;
2572
                                                END IF;
2573
                                                ea_build_now <= '1';
2574
                                                set_exec(Regwrena) <= '1';
2575
                                                source_lowbits <='1';
2576
                                                IF (nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2577
                                                        dest_hbits <= '1';
2578
                                                END IF;
2579
                                                datatype <= "01";
2580
                                                IF setexecOPC='1' THEN
2581
                                                        datatype <= "10";
2582
                                                END IF;
2583
 
2584
                                        ELSE
2585
                                                trap_illegal <= '1';
2586
                                                trapmake <= '1';
2587
                                        END IF;
2588
 
2589
                                ELSIF opcode(8)='1' AND opcode(5 downto 4)="00" THEN    --exg, abcd
2590
                                        IF opcode(7 downto 6)="00" THEN --abcd
2591
                                                build_bcd <= '1';
2592
                                                set_exec(opcADD) <= '1';
2593
                                                set_exec(opcABCD) <= '1';
2594
                                        ELSE                                                                    --exg
2595
                                                datatype <= "10";
2596
                                                set(Regwrena) <= '1';
2597
                                                set(exg) <= '1';
2598
                                                IF opcode(6)='1' AND opcode(3)='1' THEN
2599
                                                        dest_areg <= '1';
2600
                                                        source_areg <= '1';
2601
                                                END IF;
2602
                                                IF decodeOPC='1' THEN
2603
                                                        setstate <= "01";
2604
                                                ELSE
2605
                                                        dest_hbits <= '1';
2606
                                                END IF;
2607
                                        END IF;
2608
                                ELSE                                                                    --and
2609
                                        set_exec(opcAND) <= '1';
2610
                                        build_logical <= '1';
2611
                                END IF;
2612
--                              
2613
---- 1110 ----------------------------------------------------------------------------          
2614
                        WHEN "1110" =>                                                          --rotation / bitfield
2615
                                IF opcode(7 downto 6)="11" THEN
2616
                                        IF opcode(11)='0' THEN
2617
                                                IF BarrelShifter=0 THEN
2618
                                                        set_exec(opcROT) <= '1';
2619
                                                ELSE
2620
                                                        set_exec(exec_BS) <='1';
2621
                                                END IF;
2622
                                                ea_build_now <= '1';
2623
                                                datatype <= "01";
2624
                                                set_rot_bits <= opcode(10 downto 9);
2625
                                                set_exec(ea_data_OP1) <= '1';
2626
                                                write_back <= '1';
2627
                                        ELSE            --bitfield
2628
                                                IF BitField=0 OR (cpu(1)='0' AND BitField=2) THEN
2629
                                                        trap_illegal <= '1';
2630
                                                        trapmake <= '1';
2631
                                                ELSE
2632
                                                        IF decodeOPC='1' THEN
2633
                                                                next_micro_state <= nop;
2634
                                                                set(get_2ndOPC) <= '1';
2635
                                                                set(ea_build) <= '1';
2636
                                                        END IF;
2637
                                                        set_exec(opcBF) <= '1';
2638
--              000-bftst, 001-bfextu, 010-bfchg, 011-bfexts, 100-bfclr, 101-bfff0, 110-bfset, 111-bfins                                                                
2639
                                                        IF opcode(10)='1' OR opcode(8)='0' THEN
2640
                                                                set_exec(opcBFwb) <= '1';                       --'1' for tst,chg,clr,ffo,set,ins    --'0' for extu,exts
2641
                                                        END IF;
2642
                                                        IF opcode(10 downto 8)="111" THEN       --BFINS
2643
                                                                set_exec(ea_data_OP1) <= '1';
2644
                                                        END IF;
2645
 
2646
                                                        IF opcode(10 downto 8)="010" OR opcode(10 downto 8)="100" OR opcode(10 downto 8)="110" OR opcode(10 downto 8)="111" THEN
2647
                                                                write_back <= '1';
2648
                                                        END IF;
2649
                                                        ea_only <= '1';
2650
                                                        IF opcode(10 downto 8)="001" OR opcode(10 downto 8)="011" OR opcode(10 downto 8)="101" THEN
2651
                                                                set_exec(Regwrena) <= '1';
2652
                                                        END IF;
2653
                                                        IF opcode(4 downto 3)="00" THEN
2654
                                                                IF opcode(10 downto 8)/="000" THEN
2655
                                                                        set_exec(Regwrena) <= '1';
2656
                                                                END IF;
2657
                                                                IF exec(ea_build)='1' THEN
2658
                                                                        dest_2ndHbits <= '1';
2659
                                                                        source_2ndLbits <= '1';
2660
                                                                        set(get_bfoffset) <='1';
2661
                                                                        setstate <= "01";
2662
                                                                END IF;
2663
                                                        END IF;
2664
                                                        IF set(get_ea_now)='1' THEN
2665
                                                                setstate <= "01";
2666
                                                        END IF;
2667
                                                        IF exec(get_ea_now)='1' THEN
2668
                                                                dest_2ndHbits <= '1';
2669
                                                                source_2ndLbits <= '1';
2670
                                                                set(get_bfoffset) <='1';
2671
                                                                setstate <= "01";
2672
                                                                set(mem_addsub) <='1';
2673
                                                                next_micro_state <= bf1;
2674
                                                        END IF;
2675
 
2676
                                                        IF setexecOPC='1' THEN
2677
                                                                IF opcode(10 downto 8)="111" THEN       --BFINS
2678
                                                                        source_2ndHbits <= '1';
2679
                                                                ELSE
2680
                                                                        source_lowbits <= '1';
2681
                                                                END IF;
2682
                                                                IF opcode(10 downto 8)="001" OR opcode(10 downto 8)="011" OR opcode(10 downto 8)="101" THEN     --BFEXT, BFFFO
2683
                                                                        dest_2ndHbits <= '1';
2684
                                                                END IF;
2685
                                                        END IF;
2686
                                                END IF;
2687
                                        END IF;
2688
                                ELSE
2689
                                        data_is_source <= '1';
2690
                                        IF BarrelShifter=0 OR (cpu(1)='0' AND BarrelShifter=2) THEN
2691
 
2692
                                                set_exec(opcROT) <= '1';
2693
                                                set_rot_bits <= opcode(4 downto 3);
2694
                                                set_exec(Regwrena) <= '1';
2695
                                                IF decodeOPC='1' THEN
2696
                                                        IF opcode(5)='1' THEN
2697
                                                                next_micro_state <= rota1;
2698
                                                                set(ld_rot_cnt) <= '1';
2699
                                                                setstate <= "01";
2700
                                                        ELSE
2701
                                                                set_rot_cnt(2 downto 0) <= opcode(11 downto 9);
2702
                                                                IF opcode(11 downto 9)="000" THEN
2703
                                                                        set_rot_cnt(3) <='1';
2704
                                                                ELSE
2705
                                                                        set_rot_cnt(3) <='0';
2706
                                                                END IF;
2707
                                                        END IF;
2708
                                                END IF;
2709
                                        ELSE
2710
                                                set_exec(exec_BS) <='1';
2711
                                                set_rot_bits <= opcode(4 downto 3);
2712
                                                set_exec(Regwrena) <= '1';
2713
                                        END IF;
2714
                                END IF;
2715
--                                                      
2716
----      ----------------------------------------------------------------------------          
2717
                        WHEN OTHERS =>
2718
                                trap_1111 <= '1';
2719
                                trapmake <= '1';
2720
 
2721
                END CASE;
2722
 
2723
-- use for AND, OR, EOR, CMP
2724
                IF build_logical='1' THEN
2725
                        ea_build_now <= '1';
2726
                        IF set_exec(opcCMP)='0' AND (opcode(8)='0' OR opcode(5 downto 4)="00" ) THEN
2727
                                set_exec(Regwrena) <= '1';
2728
                        END IF;
2729
                        IF opcode(8)='1' THEN
2730
                                write_back <= '1';
2731
                                set_exec(ea_data_OP1) <= '1';
2732
                        ELSE
2733
                                source_lowbits <='1';
2734
                                IF opcode(3)='1' THEN           --use for cmp
2735
                                        source_areg <= '1';
2736
                                END IF;
2737
                                IF setexecOPC='1' THEN
2738
                                        dest_hbits <= '1';
2739
                                END IF;
2740
                        END IF;
2741
                END IF;
2742
 
2743
-- use for ABCD, SBCD
2744
                IF build_bcd='1' THEN
2745
                        set_exec(use_XZFlag) <= '1';
2746
                        set_exec(ea_data_OP1) <= '1';
2747
                        write_back <= '1';
2748
                        source_lowbits <='1';
2749
                        IF opcode(3)='1' THEN
2750
                                IF decodeOPC='1' THEN
2751
                                        IF opcode(2 downto 0)="111" THEN
2752
                                                set(use_SP) <= '1';
2753
                                        END IF;
2754
                                        setstate <= "10";
2755
                                        set(update_ld) <= '1';
2756
                                        set(presub) <= '1';
2757
                                        next_micro_state <= op_AxAy;
2758
                                        dest_areg <= '1';                               --???
2759
                                END IF;
2760
                        ELSE
2761
                                dest_hbits <= '1';
2762
                                set_exec(Regwrena) <= '1';
2763
                        END IF;
2764
                END IF;
2765
 
2766
 
2767
------------------------------------------------------------------------------          
2768
------------------------------------------------------------------------------          
2769
                IF set_Z_error='1'  THEN                -- divu by zero
2770
                        trapmake <= '1';                        --wichtig for USP
2771
                        IF trapd='0' THEN
2772
                                writePC <= '1';
2773
                        END IF;
2774
                END IF;
2775
 
2776
-----------------------------------------------------------------------------
2777
-- execute microcode
2778
-----------------------------------------------------------------------------
2779
                IF rising_edge(clk) THEN
2780
                IF Reset='1' THEN
2781
                                micro_state <= ld_nn;
2782
                        ELSIF clkena_lw='1' THEN
2783
                                trapd <= trapmake;
2784
                                micro_state <= next_micro_state;
2785
                        END IF;
2786
                END IF;
2787
 
2788
                        CASE micro_state IS
2789
                                WHEN ld_nn =>           -- (nnnn).w/l=>
2790
                                        set(get_ea_now) <='1';
2791
                                        setnextpass <= '1';
2792
                                        set(addrlong) <= '1';
2793
 
2794
                                WHEN st_nn =>           -- =>(nnnn).w/l
2795
                                        setstate <= "11";
2796
                                        set(addrlong) <= '1';
2797
                                        next_micro_state <= nop;
2798
 
2799
                                WHEN ld_dAn1 =>         -- d(An)=>, --d(PC)=>
2800
                                        set(get_ea_now) <='1';
2801
                                        setdisp <= '1';         --word
2802
                                        setnextpass <= '1';
2803
 
2804
                                WHEN ld_AnXn1 =>                -- d(An,Xn)=>, --d(PC,Xn)=>
2805
                                        IF brief(8)='0' OR extAddr_Mode=0 OR (cpu(1)='0' AND extAddr_Mode=2) THEN
2806
                                                setdisp <= '1';         --byte  
2807
                                                setdispbyte <= '1';
2808
                                                setstate <= "01";
2809
                                                set(briefext) <= '1';
2810
                                                next_micro_state <= ld_AnXn2;
2811
                                        ELSE
2812
                                                IF brief(7)='1'THEN             --suppress Base
2813
                                                        set_suppress_base <= '1';
2814
                                                ELSIF exec(dispouter)='1' THEN
2815
                                                        set(dispouter) <= '1';
2816
                                                END IF;
2817
                                                IF brief(5)='0' THEN --NULL Base Displacement
2818
                                                        setstate <= "01";
2819
                                                ELSE  --WORD Base Displacement
2820
                                                        IF brief(4)='1' THEN
2821
                                                                set(longaktion) <= '1'; --LONG Base Displacement
2822
                                                        END IF;
2823
                                                END IF;
2824
                                                next_micro_state <= ld_229_1;
2825
                                        END IF;
2826
 
2827
                                WHEN ld_AnXn2 =>
2828
                                        set(get_ea_now) <='1';
2829
                                        setdisp <= '1';         --brief
2830
                                        setnextpass <= '1';
2831
 
2832
-------------------------------------------------------------------------------------                                   
2833
 
2834
                                WHEN ld_229_1 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2835
                                        IF brief(5)='1' THEN    --Base Displacement
2836
                                                setdisp <= '1';         --add last_data_read
2837
                                        END IF;
2838
                                        IF brief(6)='0' AND brief(2)='0' THEN --Preindex or Index
2839
                                                set(briefext) <= '1';
2840
                                                setstate <= "01";
2841
                                                IF brief(1 downto 0)="00" THEN
2842
                                                        next_micro_state <= ld_AnXn2;
2843
                                                ELSE
2844
                                                        next_micro_state <= ld_229_2;
2845
                                                END IF;
2846
                                        ELSE
2847
                                                IF brief(1 downto 0)="00" THEN
2848
                                                        set(get_ea_now) <='1';
2849
                                                        setnextpass <= '1';
2850
                                                ELSE
2851
                                                        setstate <= "10";
2852
                                                        set(longaktion) <= '1';
2853
                                                        next_micro_state <= ld_229_3;
2854
                                                END IF;
2855
                                        END IF;
2856
 
2857
                                WHEN ld_229_2 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2858
                                        setdisp <= '1';         -- add Index
2859
                                        setstate <= "10";
2860
                                        set(longaktion) <= '1';
2861
                                        next_micro_state <= ld_229_3;
2862
 
2863
                                WHEN ld_229_3 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2864
                                        set_suppress_base <= '1';
2865
                                        set(dispouter) <= '1';
2866
                                        IF brief(1)='0' THEN --NULL Outer Displacement
2867
                                                setstate <= "01";
2868
                                        ELSE  --WORD Outer Displacement
2869
                                                IF brief(0)='1' THEN
2870
                                                        set(longaktion) <= '1'; --LONG Outer Displacement
2871
                                                END IF;
2872
                                        END IF;
2873
                                        next_micro_state <= ld_229_4;
2874
 
2875
                                WHEN ld_229_4 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2876
                                        IF brief(1)='1' THEN  -- Outer Displacement
2877
                                                setdisp <= '1';   --add last_data_read
2878
                                        END IF;
2879
                                        IF brief(6)='0' AND brief(2)='1' THEN --Postindex
2880
                                                set(briefext) <= '1';
2881
                                                setstate <= "01";
2882
                                                next_micro_state <= ld_AnXn2;
2883
                                        ELSE
2884
                                                set(get_ea_now) <='1';
2885
                                                setnextpass <= '1';
2886
                                        END IF;
2887
 
2888
----------------------------------------------------------------------------------------                                
2889
                                WHEN st_dAn1 =>         -- =>d(An)
2890
                                        setstate <= "11";
2891
                                        setdisp <= '1';         --word
2892
                                        next_micro_state <= nop;
2893
 
2894
                                WHEN st_AnXn1 =>                -- =>d(An,Xn)
2895
                                        IF brief(8)='0' OR extAddr_Mode=0 OR (cpu(1)='0' AND extAddr_Mode=2) THEN
2896
                                                setdisp <= '1';         --byte  
2897
                                                setdispbyte <= '1';
2898
                                                setstate <= "01";
2899
                                                set(briefext) <= '1';
2900
                                                next_micro_state <= st_AnXn2;
2901
                                        ELSE
2902
                                                IF brief(7)='1'THEN             --suppress Base
2903
                                                        set_suppress_base <= '1';
2904
--                                              ELSIF exec(dispouter)='1' THEN
2905
--                                                      set(dispouter) <= '1';
2906
                                                END IF;
2907
                                                IF brief(5)='0' THEN --NULL Base Displacement
2908
                                                        setstate <= "01";
2909
                                                ELSE  --WORD Base Displacement
2910
                                                        IF brief(4)='1' THEN
2911
                                                                set(longaktion) <= '1'; --LONG Base Displacement
2912
                                                        END IF;
2913
                                                END IF;
2914
                                                next_micro_state <= st_229_1;
2915
                                        END IF;
2916
 
2917
                                WHEN st_AnXn2 =>
2918
                                        setstate <= "11";
2919
                                        setdisp <= '1';         --brief 
2920
                                        next_micro_state <= nop;
2921
 
2922
-------------------------------------------------------------------------------------                                   
2923
 
2924
                                WHEN st_229_1 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2925
                                        IF brief(5)='1' THEN    --Base Displacement
2926
                                                setdisp <= '1';         --add last_data_read
2927
                                        END IF;
2928
                                        IF brief(6)='0' AND brief(2)='0' THEN --Preindex or Index
2929
                                                set(briefext) <= '1';
2930
                                                setstate <= "01";
2931
                                                IF brief(1 downto 0)="00" THEN
2932
                                                        next_micro_state <= st_AnXn2;
2933
                                                ELSE
2934
                                                        next_micro_state <= st_229_2;
2935
                                                END IF;
2936
                                        ELSE
2937
                                                IF brief(1 downto 0)="00" THEN
2938
                                                        setstate <= "11";
2939
                                                        next_micro_state <= nop;
2940
                                                ELSE
2941
                                                        set(hold_dwr) <= '1';
2942
                                                        setstate <= "10";
2943
                                                        set(longaktion) <= '1';
2944
                                                        next_micro_state <= st_229_3;
2945
                                                END IF;
2946
                                        END IF;
2947
 
2948
                                WHEN st_229_2 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2949
                                        setdisp <= '1';         -- add Index
2950
                                        set(hold_dwr) <= '1';
2951
                                        setstate <= "10";
2952
                                        set(longaktion) <= '1';
2953
                                        next_micro_state <= st_229_3;
2954
 
2955
                                WHEN st_229_3 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2956
                                        set(hold_dwr) <= '1';
2957
                                        set_suppress_base <= '1';
2958
                                        set(dispouter) <= '1';
2959
                                        IF brief(1)='0' THEN --NULL Outer Displacement
2960
                                                setstate <= "01";
2961
                                        ELSE  --WORD Outer Displacement
2962
                                                IF brief(0)='1' THEN
2963
                                                        set(longaktion) <= '1'; --LONG Outer Displacement
2964
                                                END IF;
2965
                                        END IF;
2966
                                        next_micro_state <= st_229_4;
2967
 
2968
                                WHEN st_229_4 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2969
                                        set(hold_dwr) <= '1';
2970
                                        IF brief(1)='1' THEN  -- Outer Displacement
2971
                                                setdisp <= '1';   --add last_data_read
2972
                                        END IF;
2973
                                        IF brief(6)='0' AND brief(2)='1' THEN --Postindex
2974
                                                set(briefext) <= '1';
2975
                                                setstate <= "01";
2976
                                                next_micro_state <= st_AnXn2;
2977
                                        ELSE
2978
                                                setstate <= "11";
2979
                                                next_micro_state <= nop;
2980
                                        END IF;
2981
 
2982
----------------------------------------------------------------------------------------                                
2983
                                WHEN bra1 =>            --bra
2984
                                        IF exe_condition='1' THEN
2985
                                                TG68_PC_brw <= '1';     --pc+0000
2986
                                                next_micro_state <= nop;
2987
                                                skipFetch <= '1';
2988
                                        END IF;
2989
 
2990
                                WHEN bsr1 =>            --bsr short
2991
                                        TG68_PC_brw <= '1';
2992
                                        next_micro_state <= nop;
2993
 
2994
                                WHEN bsr2 =>            --bsr
2995
                                        IF long_start='0' THEN
2996
                                                TG68_PC_brw <= '1';
2997
                                        END IF;
2998
                                        skipFetch <= '1';
2999
                                        set(longaktion) <= '1';
3000
                                        writePC <= '1';
3001
                                        setstate <= "11";
3002
                                        next_micro_state <= nopnop;
3003
                                        setstackaddr <='1';
3004
                                WHEN nopnop =>          --bsr
3005
                                        next_micro_state <= nop;
3006
 
3007
                                WHEN dbcc1 =>           --dbcc
3008
                                        IF exe_condition='0' THEN
3009
                                                Regwrena_now <= '1';
3010
                                                IF c_out(1)='1' THEN
3011
                                                        skipFetch <= '1';
3012
                                                        next_micro_state <= nop;
3013
                                                        TG68_PC_brw <= '1';
3014
                                                END IF;
3015
                                        END IF;
3016
 
3017
                                WHEN movem1 =>          --movem
3018
                                        IF last_data_read(15 downto 0)/=X"0000" THEN
3019
                                                setstate <="01";
3020
                                                IF opcode(5 downto 3)="100" THEN
3021
                                                        set(mem_addsub) <= '1';
3022
                                                END IF;
3023
                                                next_micro_state <= movem2;
3024
                                        END IF;
3025
                                WHEN movem2 =>          --movem
3026
                                        IF movem_run='0' THEN
3027
                                                setstate <="01";
3028
                                        ELSE
3029
                                                set(movem_action) <= '1';
3030
                                                set(mem_addsub) <= '1';
3031
                                                next_micro_state <= movem2;
3032
                                                IF opcode(10)='0' THEN
3033
                                                        setstate <="11";
3034
                                                        set(write_reg) <= '1';
3035
                                                ELSE
3036
                                                        setstate <="10";
3037
                                                END IF;
3038
                                        END IF;
3039
 
3040
                                WHEN andi =>            --andi
3041
                                        IF opcode(5 downto 4)/="00" THEN
3042
                                                setnextpass <= '1';
3043
                                        END IF;
3044
 
3045
                                WHEN pack1 =>           -- pack -(Ax),-(Ay)
3046 6 tobiflex
                                        IF opcode(2 downto 0)="111" THEN
3047
                                                set(use_SP) <= '1';
3048
                                        END IF;
3049 2 tobiflex
                                        set(hold_ea_data) <= '1';
3050
                                        set(update_ld) <= '1';
3051
                                        setstate <= "10";
3052
                                        set(presub) <= '1';
3053
                                        next_micro_state <= pack2;
3054
                                        dest_areg <= '1';
3055
                                WHEN pack2 =>
3056 6 tobiflex
                                        IF opcode(11 downto 9)="111" THEN
3057
                                                set(use_SP) <= '1';
3058
                                        END IF;
3059 2 tobiflex
                                        set(hold_ea_data) <= '1';
3060
                                        set_direct_data <= '1';
3061
                                        IF opcode(7 downto 6) = "01" THEN       --pack
3062
                                                datatype <= "00";               --Byte
3063
                                        ELSE                                                            --unpk
3064
                                                datatype <= "01";               --Word
3065
                                        END IF;
3066
                                        set(presub) <= '1';
3067
                                        dest_hbits <= '1';
3068
                                        dest_areg <= '1';
3069
                                        setstate <= "10";
3070
                                        next_micro_state <= pack3;
3071
                                WHEN pack3 =>
3072
                                        skipFetch <= '1';
3073
 
3074
                                WHEN op_AxAy =>         -- op -(Ax),-(Ay)
3075
                                        IF opcode(11 downto 9)="111" THEN
3076
                                                set(use_SP) <= '1';
3077
                                        END IF;
3078
                                        set_direct_data <= '1';
3079
                                        set(presub) <= '1';
3080
                                        dest_hbits <= '1';
3081
                                        dest_areg <= '1';
3082
                                        setstate <= "10";
3083
 
3084
                                WHEN cmpm =>            -- cmpm (Ay)+,(Ax)+
3085
                                        IF opcode(11 downto 9)="111" THEN
3086
                                                set(use_SP) <= '1';
3087
                                        END IF;
3088
                                        set_direct_data <= '1';
3089
                                        set(postadd) <= '1';
3090
                                        dest_hbits <= '1';
3091
                                        dest_areg <= '1';
3092
                                        setstate <= "10";
3093
 
3094
                                WHEN link1 =>           -- link
3095
                                        setstate <="11";
3096
                                        source_areg <= '1';
3097
                                        set(opcMOVE) <= '1';
3098
                                        set(Regwrena) <= '1';
3099
                                        next_micro_state <= link2;
3100
                                WHEN link2 =>           -- link
3101
                                        setstackaddr <='1';
3102
                                        set(ea_data_OP2) <= '1';
3103
 
3104
                                WHEN unlink1 =>         -- unlink
3105
                                        setstate <="10";
3106
                                        setstackaddr <='1';
3107
                                        set(postadd) <= '1';
3108
                                        next_micro_state <= unlink2;
3109
                                WHEN unlink2 =>         -- unlink
3110
                                        set(ea_data_OP2) <= '1';
3111
 
3112 8 tobiflex
-- paste and copy form TH       ---------       
3113
                                when trap00 =>          -- TRAP format #2
3114
                                        next_micro_state <= trap0;
3115
                                        set(presub) <= '1';
3116
                                        setstackaddr <='1';
3117
                                        setstate <= "11";
3118
                                        datatype <= "10";
3119
------------------------------------
3120 2 tobiflex
                                WHEN trap0 =>           -- TRAP
3121
                                        set(presub) <= '1';
3122
                                        setstackaddr <='1';
3123
                                        setstate <= "11";
3124
                                        IF VBR_Stackframe=1 OR (cpu(0)='1' AND VBR_Stackframe=2) THEN    --68010
3125
                                                set(writePC_add) <= '1';
3126
                                                datatype <= "01";
3127
--                                              set_datatype <= "10";
3128
                                                next_micro_state <= trap1;
3129
                                        ELSE
3130
                                                IF trap_interrupt='1' OR trap_trace='1' OR trap_berr='1' THEN
3131
                                                        writePC <= '1';
3132
                                                END IF;
3133
                                                datatype <= "10";
3134
                                                next_micro_state <= trap2;
3135 8 tobiflex
                                        END IF;
3136
 
3137 2 tobiflex
                                WHEN trap1 =>           -- TRAP
3138
                                        IF trap_interrupt='1' OR trap_trace='1' THEN
3139
                                                writePC <= '1';
3140
                                        END IF;
3141
                                        set(presub) <= '1';
3142
                                        setstackaddr <='1';
3143
                                        setstate <= "11";
3144
                                        datatype <= "10";
3145
                                        next_micro_state <= trap2;
3146
                                WHEN trap2 =>           -- TRAP
3147
                                        set(presub) <= '1';
3148
                                        setstackaddr <='1';
3149
                                        setstate <= "11";
3150
                                        datatype <= "01";
3151
                                        writeSR <= '1';
3152
                                        IF trap_berr='1' THEN
3153
                                                next_micro_state <= trap4;
3154
                                        ELSE
3155
                                                next_micro_state <= trap3;
3156
                                        END IF;
3157
                                WHEN trap3 =>           -- TRAP
3158
                                        set_vectoraddr <= '1';
3159
                                        datatype <= "10";
3160
                                        set(direct_delta) <= '1';
3161
                                        set(directPC) <= '1';
3162
                                        setstate <= "10";
3163
                                        next_micro_state <= nopnop;
3164
                                WHEN trap4 =>           -- TRAP
3165
                                        set(presub) <= '1';
3166
                                        setstackaddr <='1';
3167
                                        setstate <= "11";
3168
                                        datatype <= "01";
3169
                                        writeSR <= '1';
3170
                                        next_micro_state <= trap5;
3171
                                WHEN trap5 =>           -- TRAP
3172
                                        set(presub) <= '1';
3173
                                        setstackaddr <='1';
3174
                                        setstate <= "11";
3175
                                        datatype <= "10";
3176
                                        writeSR <= '1';
3177
                                        next_micro_state <= trap6;
3178
                                WHEN trap6 =>           -- TRAP
3179
                                        set(presub) <= '1';
3180
                                        setstackaddr <='1';
3181
                                        setstate <= "11";
3182
                                        datatype <= "01";
3183
                                        writeSR <= '1';
3184
                                        next_micro_state <= trap3;
3185
 
3186
                                WHEN rte1 =>            -- RTE
3187
                                        datatype <= "10";
3188
                                        setstate <= "10";
3189
                                        set(postadd) <= '1';
3190
                                        setstackaddr <= '1';
3191 4 tobiflex
                                        set(directPC) <= '1';
3192
                                        IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) OR opcode(2)='1' THEN     --opcode(2)='1' => opcode is RTR
3193 2 tobiflex
                                                set(update_FC) <= '1';
3194
                                                set(direct_delta) <= '1';
3195
                                        END IF;
3196
                                        next_micro_state <= rte2;
3197
                                WHEN rte2 =>            -- RTE
3198
                                        datatype <= "01";
3199
                                        set(update_FC) <= '1';
3200 4 tobiflex
                                        IF (VBR_Stackframe=1 OR (cpu(0)='1' AND VBR_Stackframe=2)) AND opcode(2)='0' THEN
3201 2 tobiflex
                                                setstate <= "10";
3202
                                                set(postadd) <= '1';
3203
                                                setstackaddr <= '1';
3204
                                                next_micro_state <= rte3;
3205
                                        ELSE
3206
                                                next_micro_state <= nop;
3207
                                        END IF;
3208
                                WHEN rte3 =>            -- RTE
3209
                                        next_micro_state <= nop;
3210
--                                      set(update_FC) <= '1';
3211
 
3212
 
3213
                                WHEN rtd1 =>            -- RTD
3214
                                        next_micro_state <= rtd2;
3215
                                WHEN rtd2 =>            -- RTD
3216
                                        setstackaddr <= '1';
3217
                                        set(Regwrena) <= '1';
3218
 
3219
                                WHEN movec1 =>          -- MOVEC
3220
                                        set(briefext) <= '1';
3221
                                        set_writePCbig <='1';
3222
                                        IF (brief(11 downto 0)=X"000" OR brief(11 downto 0)=X"001" OR brief(11 downto 0)=X"800" OR brief(11 downto 0)=X"801") OR
3223
                                           (cpu(1)='1' AND (brief(11 downto 0)=X"002" OR brief(11 downto 0)=X"802" OR brief(11 downto 0)=X"803" OR brief(11 downto 0)=X"804")) THEN
3224
                                                IF opcode(0)='0' THEN
3225
                                                        set(Regwrena) <= '1';
3226
                                                END IF;
3227
--                                      ELSIF brief(11 downto 0)=X"800"OR brief(11 downto 0)=X"001" OR brief(11 downto 0)=X"000" THEN
3228
--                                              trap_addr_error <= '1';
3229
--                                              trapmake <= '1';
3230
                                        ELSE
3231
                                                trap_illegal <= '1';
3232
                                                trapmake <= '1';
3233
                                        END IF;
3234
 
3235
                                WHEN movep1 =>          -- MOVEP d(An)
3236
                                        setdisp <= '1';
3237
                                        set(mem_addsub) <= '1';
3238
                                        set(mem_byte) <= '1';
3239
                                        set(OP1addr) <= '1';
3240
                                        IF opcode(6)='1' THEN
3241
                                                set(movepl) <= '1';
3242
                                        END IF;
3243
                                        IF opcode(7)='0' THEN
3244
                                                setstate <= "10";
3245
                                        ELSE
3246
                                                setstate <= "11";
3247
                                        END IF;
3248
                                        next_micro_state <= movep2;
3249
                                WHEN movep2 =>
3250
                                        IF opcode(6)='1' THEN
3251
                                                set(mem_addsub) <= '1';
3252
                                            set(OP1addr) <= '1';
3253
                                        END IF;
3254
                                        IF opcode(7)='0' THEN
3255
                                                setstate <= "10";
3256
                                        ELSE
3257
                                                setstate <= "11";
3258
                                        END IF;
3259
                                        next_micro_state <= movep3;
3260
                                WHEN movep3 =>
3261
                                        IF opcode(6)='1' THEN
3262
                                                set(mem_addsub) <= '1';
3263
                                            set(OP1addr) <= '1';
3264
                                                set(mem_byte) <= '1';
3265
                                                IF opcode(7)='0' THEN
3266
                                                        setstate <= "10";
3267
                                                ELSE
3268
                                                        setstate <= "11";
3269
                                                END IF;
3270
                                                next_micro_state <= movep4;
3271
                                        ELSE
3272
                                                datatype <= "01";               --Word
3273
                                        END IF;
3274
                                WHEN movep4 =>
3275
                                        IF opcode(7)='0' THEN
3276
                                                setstate <= "10";
3277
                                        ELSE
3278
                                                setstate <= "11";
3279
                                        END IF;
3280
                                        next_micro_state <= movep5;
3281
                                WHEN movep5 =>
3282
                                        datatype <= "10";               --Long
3283
 
3284
                                WHEN mul1       =>              -- mulu
3285
                                        IF opcode(15)='1' OR MUL_Mode=0 THEN
3286
                                                set_rot_cnt <= "001110";
3287
                                        ELSE
3288
                                                set_rot_cnt <= "011110";
3289
                                        END IF;
3290
                                        setstate <="01";
3291
                                        next_micro_state <= mul2;
3292
                                WHEN mul2       =>              -- mulu
3293 8 tobiflex
                                        setstate <="01";
3294 2 tobiflex
                                        IF rot_cnt="00001" THEN
3295 8 tobiflex
                                                next_micro_state <= mul_end1;
3296
 
3297 2 tobiflex
                                        ELSE
3298
                                                next_micro_state <= mul2;
3299
                                        END IF;
3300
                                WHEN mul_end1   =>              -- mulu
3301 8 tobiflex
                                        IF opcode(15)='0' THEN
3302
                                                set(save_OP2) <= '1';
3303
                                        END IF;
3304 2 tobiflex
                                        datatype <= "10";
3305
                                        set(opcMULU) <= '1';
3306
                                        IF opcode(15)='0' AND (MUL_Mode=1 OR MUL_Mode=2) THEN
3307
                                                dest_2ndHbits <= '1';
3308
                                                set(write_lowlong) <= '1';
3309
                                                IF sndOPC(10)='1' THEN
3310
                                                        setstate <="01";
3311
                                                        next_micro_state <= mul_end2;
3312
                                                END IF;
3313
                                                set(Regwrena) <= '1';
3314
                                        END IF;
3315
                                        datatype <= "10";
3316
                                WHEN mul_end2   =>              -- divu
3317
                                        set(write_reminder) <= '1';
3318
                                        set(Regwrena) <= '1';
3319
                                        set(opcMULU) <= '1';
3320
 
3321
                                WHEN div1       =>              -- divu
3322
                                        setstate <="01";
3323
                                        next_micro_state <= div2;
3324
                                WHEN div2       =>              -- divu
3325
                                        IF (OP2out(31 downto 16)=x"0000" OR opcode(15)='1' OR DIV_Mode=0) AND OP2out(15 downto 0)=x"0000" THEN            --div zero
3326
                                                set_Z_error <= '1';
3327
                                        ELSE
3328
                                                next_micro_state <= div3;
3329
                                        END IF;
3330
                                        set(ld_rot_cnt) <= '1';
3331
                                        setstate <="01";
3332
                                WHEN div3       =>              -- divu
3333
                                        IF opcode(15)='1' OR DIV_Mode=0 THEN
3334
                                                set_rot_cnt <= "001101";
3335
                                        ELSE
3336
                                                set_rot_cnt <= "011101";
3337
                                        END IF;
3338
                                        setstate <="01";
3339
                                        next_micro_state <= div4;
3340
                                WHEN div4       =>              -- divu
3341
                                        setstate <="01";
3342
                                        IF rot_cnt="00001" THEN
3343
                                                next_micro_state <= div_end1;
3344
                                        ELSE
3345
                                                next_micro_state <= div4;
3346
                                        END IF;
3347
                                WHEN div_end1   =>              -- divu
3348
                                        IF opcode(15)='0' AND (DIV_Mode=1 OR DIV_Mode=2) THEN
3349
                                                set(write_reminder) <= '1';
3350
                                                next_micro_state <= div_end2;
3351
                                                setstate <="01";
3352
                                        END IF;
3353
                                        set(opcDIVU) <= '1';
3354
                                        datatype <= "10";
3355
                                WHEN div_end2   =>              -- divu
3356
                                        dest_2ndHbits <= '1';
3357
                                        source_2ndLbits <= '1';--???
3358
                                        set(opcDIVU) <= '1';
3359
 
3360
                                WHEN rota1      =>
3361
                                        IF OP2out(5 downto 0)/="000000" THEN
3362
                                                set_rot_cnt <= OP2out(5 downto 0);
3363
                                        ELSE
3364
                                                set_exec(rot_nop) <= '1';
3365
                                        END IF;
3366
 
3367
                                WHEN bf1 =>
3368
                                        setstate <="10";
3369
 
3370
                                WHEN OTHERS => NULL;
3371
                        END CASE;
3372
        END PROCESS;
3373
 
3374
-----------------------------------------------------------------------------
3375
-- MOVEC
3376
-----------------------------------------------------------------------------
3377
  process (clk, VBR, CACR, brief)
3378
  begin
3379
        -- all other hexa codes should give illegal isntruction exception
3380
        if rising_edge(clk) then
3381
          if Reset = '1' then
3382
                VBR <= (others => '0');
3383
                CACR <= (others => '0');
3384
          elsif clkena_lw = '1' and exec(movec_wr) = '1' then
3385
                case brief(11 downto 0) is
3386
                  when X"000" => NULL; -- SFC -- 68010+
3387
                  when X"001" => NULL; -- DFC -- 68010+
3388
                  when X"002" => CACR <= reg_QA(3 downto 0); -- 68020+
3389
                  when X"800" => NULL; -- USP -- 68010+
3390
                  when X"801" => VBR <= reg_QA; -- 68010+
3391
                  when X"802" => NULL; -- CAAR -- 68020+
3392
                  when X"803" => NULL; -- MSP -- 68020+
3393
                  when X"804" => NULL; -- isP -- 68020+
3394
                  when others => NULL;
3395
                end case;
3396
          end if;
3397
        end if;
3398
 
3399
        movec_data <= (others => '0');
3400
        case brief(11 downto 0) is
3401
          when X"002" => movec_data <= "0000000000000000000000000000" & (CACR AND "0011");
3402
 
3403
          when X"801" => --if VBR_Stackframe=1 or (cpu(0)='1' and VBR_Stackframe=2) then
3404
                movec_data <= VBR;
3405
                --end if;
3406
          when others => NULL;
3407
        end case;
3408
  end process;
3409
 
3410
  CACR_out <= CACR;
3411
  VBR_out <= VBR;
3412
-----------------------------------------------------------------------------
3413
-- Conditions
3414
-----------------------------------------------------------------------------
3415
PROCESS (exe_opcode, Flags)
3416
        BEGIN
3417
                CASE exe_opcode(11 downto 8) IS
3418
                        WHEN X"0" => exe_condition <= '1';
3419
                        WHEN X"1" => exe_condition <= '0';
3420
                        WHEN X"2" => exe_condition <=  NOT Flags(0) AND NOT Flags(2);
3421
                        WHEN X"3" => exe_condition <= Flags(0) OR Flags(2);
3422
                        WHEN X"4" => exe_condition <= NOT Flags(0);
3423
                        WHEN X"5" => exe_condition <= Flags(0);
3424
                        WHEN X"6" => exe_condition <= NOT Flags(2);
3425
                        WHEN X"7" => exe_condition <= Flags(2);
3426
                        WHEN X"8" => exe_condition <= NOT Flags(1);
3427
                        WHEN X"9" => exe_condition <= Flags(1);
3428
                        WHEN X"a" => exe_condition <= NOT Flags(3);
3429
                        WHEN X"b" => exe_condition <= Flags(3);
3430
                        WHEN X"c" => exe_condition <= (Flags(3) AND Flags(1)) OR (NOT Flags(3) AND NOT Flags(1));
3431
                        WHEN X"d" => exe_condition <= (Flags(3) AND NOT Flags(1)) OR (NOT Flags(3) AND Flags(1));
3432
                        WHEN X"e" => exe_condition <= (Flags(3) AND Flags(1) AND NOT Flags(2)) OR (NOT Flags(3) AND NOT Flags(1) AND NOT Flags(2));
3433
                        WHEN X"f" => exe_condition <= (Flags(3) AND NOT Flags(1)) OR (NOT Flags(3) AND Flags(1)) OR Flags(2);
3434
                        WHEN OTHERS => NULL;
3435
                END CASE;
3436
        END PROCESS;
3437
 
3438
-----------------------------------------------------------------------------
3439
-- Movem
3440
-----------------------------------------------------------------------------
3441
PROCESS (clk)
3442
        BEGIN
3443
                IF rising_edge(clk) THEN
3444
                        IF clkena_lw='1' THEN
3445
                                movem_actiond <= exec(movem_action);
3446
                                IF decodeOPC='1' THEN
3447
                                        sndOPC <= data_read(15 downto 0);
3448
                                ELSIF exec(movem_action)='1' OR set(movem_action) ='1' THEN
3449
                                        CASE movem_regaddr IS
3450
                                                WHEN "0000" => sndOPC(0)  <= '0';
3451
                                                WHEN "0001" => sndOPC(1)  <= '0';
3452
                                                WHEN "0010" => sndOPC(2)  <= '0';
3453
                                                WHEN "0011" => sndOPC(3)  <= '0';
3454
                                                WHEN "0100" => sndOPC(4)  <= '0';
3455
                                                WHEN "0101" => sndOPC(5)  <= '0';
3456
                                                WHEN "0110" => sndOPC(6)  <= '0';
3457
                                                WHEN "0111" => sndOPC(7)  <= '0';
3458
                                                WHEN "1000" => sndOPC(8)  <= '0';
3459
                                                WHEN "1001" => sndOPC(9)  <= '0';
3460
                                                WHEN "1010" => sndOPC(10) <= '0';
3461
                                                WHEN "1011" => sndOPC(11) <= '0';
3462
                                                WHEN "1100" => sndOPC(12) <= '0';
3463
                                                WHEN "1101" => sndOPC(13) <= '0';
3464
                                                WHEN "1110" => sndOPC(14) <= '0';
3465
                                                WHEN "1111" => sndOPC(15) <= '0';
3466
                                                WHEN OTHERS => NULL;
3467
                                        END CASE;
3468
                                END IF;
3469
                        END IF;
3470
                END IF;
3471
        END PROCESS;
3472
 
3473
PROCESS (sndOPC, movem_mux)
3474
        BEGIN
3475
                movem_regaddr <="0000";
3476
                movem_run <= '1';
3477
                IF sndOPC(3 downto 0)="0000" THEN
3478
                        IF sndOPC(7 downto 4)="0000" THEN
3479
                                movem_regaddr(3) <= '1';
3480
                                IF sndOPC(11 downto 8)="0000" THEN
3481
                                        IF sndOPC(15 downto 12)="0000" THEN
3482
                                                movem_run <= '0';
3483
                                        END IF;
3484
                                        movem_regaddr(2) <= '1';
3485
                                        movem_mux <= sndOPC(15 downto 12);
3486
                                ELSE
3487
                                        movem_mux <= sndOPC(11 downto 8);
3488
                                END IF;
3489
                        ELSE
3490
                                movem_mux <= sndOPC(7 downto 4);
3491
                                movem_regaddr(2) <= '1';
3492
                        END IF;
3493
                ELSE
3494
                        movem_mux <= sndOPC(3 downto 0);
3495
                END IF;
3496
                IF movem_mux(1 downto 0)="00" THEN
3497
                        movem_regaddr(1) <= '1';
3498
                        IF movem_mux(2)='0' THEN
3499
                                movem_regaddr(0) <= '1';
3500
                        END IF;
3501
                ELSE
3502
                        IF movem_mux(0)='0' THEN
3503
                                movem_regaddr(0) <= '1';
3504
                        END IF;
3505
                END  IF;
3506
        END PROCESS;
3507
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.