OpenCores
URL https://opencores.org/ocsvn/thor/thor/trunk

Subversion Repositories thor

[/] [thor/] [trunk/] [FT64v5/] [rtl/] [common/] [FT64_cache.v] - Blame information for rev 49

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2017-2018  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch<remove>@finitron.ca
6
//       ||
7
//
8
//      FT64_cache.v
9
//              
10
//
11
// This source file is free software: you can redistribute it and/or modify 
12
// it under the terms of the GNU Lesser General Public License as published 
13
// by the Free Software Foundation, either version 3 of the License, or     
14
// (at your option) any later version.                                      
15
//                                                                          
16
// This source file is distributed in the hope that it will be useful,      
17
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
18
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
19
// GNU General Public License for more details.                             
20
//                                                                          
21
// You should have received a copy of the GNU General Public License        
22
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
23
//                                                                          
24
//
25
// ============================================================================
26
//
27
`define TRUE    1'b1
28
`define FALSE   1'b0
29
`define BRK         6'd0
30
`define FLT_EXF     9'd497
31
`define FLT_IBE     9'd509
32
 
33
// -----------------------------------------------------------------------------
34
// Small, 64 line cache memory (2kiB) made from distributed RAM. Access is
35
// within a single clock cycle.
36
// -----------------------------------------------------------------------------
37
 
38
module FT64_L1_icache_mem(rst, clk, wr, en, lineno, i, o, ov, invall, invline);
39
parameter pLines = 64;
40 49 robfinch
parameter pLineWidth = 288;
41 48 robfinch
input rst;
42
input clk;
43
input wr;
44 49 robfinch
input [8:0] en;
45 48 robfinch
input [5:0] lineno;
46
input [pLineWidth-1:0] i;
47
output [pLineWidth-1:0] o;
48 49 robfinch
output [8:0] ov;
49 48 robfinch
input invall;
50
input invline;
51
 
52 49 robfinch
(* ram_style="distributed" *)
53 48 robfinch
reg [pLineWidth-1:0] mem [0:pLines-1];
54
reg [pLines-1:0] valid0;
55
reg [pLines-1:0] valid1;
56
reg [pLines-1:0] valid2;
57
reg [pLines-1:0] valid3;
58
reg [pLines-1:0] valid4;
59
reg [pLines-1:0] valid5;
60
reg [pLines-1:0] valid6;
61
reg [pLines-1:0] valid7;
62
reg [pLines-1:0] valid8;
63
 
64
always  @(posedge clk)
65
    if (wr & en[0])  mem[lineno][31:0] <= i[31:0];
66
always  @(posedge clk)
67
    if (wr & en[1])  mem[lineno][63:32] <= i[63:32];
68
always  @(posedge clk)
69
    if (wr & en[2])  mem[lineno][95:64] <= i[95:64];
70
always  @(posedge clk)
71
    if (wr & en[3])  mem[lineno][127:96] <= i[127:96];
72
always  @(posedge clk)
73
    if (wr & en[4])  mem[lineno][159:128] <= i[159:128];
74
always  @(posedge clk)
75
    if (wr & en[5])  mem[lineno][191:160] <= i[191:160];
76
always  @(posedge clk)
77
    if (wr & en[6])  mem[lineno][223:192] <= i[223:192];
78
always  @(posedge clk)
79
    if (wr & en[7])  mem[lineno][255:224] <= i[255:224];
80
always  @(posedge clk)
81
    if (wr & en[8])  mem[lineno][287:256] <= i[287:256];
82
always  @(posedge clk)
83
if (rst) begin
84
     valid0 <= 64'd0;
85
     valid1 <= 64'd0;
86
     valid2 <= 64'd0;
87
     valid3 <= 64'd0;
88
     valid4 <= 64'd0;
89
     valid5 <= 64'd0;
90
     valid6 <= 64'd0;
91
     valid7 <= 64'd0;
92
     valid8 <= 64'd0;
93
end
94
else begin
95
    if (invall) begin
96
        valid0 <= 64'd0;
97
        valid1 <= 64'd0;
98
        valid2 <= 64'd0;
99
        valid3 <= 64'd0;
100
        valid4 <= 64'd0;
101
        valid5 <= 64'd0;
102
        valid6 <= 64'd0;
103
        valid7 <= 64'd0;
104
                        valid8 <= 64'd0;
105
    end
106
    else if (invline) begin
107
        valid0[lineno] <= 1'b0;
108
        valid1[lineno] <= 1'b0;
109
        valid2[lineno] <= 1'b0;
110
        valid3[lineno] <= 1'b0;
111
        valid4[lineno] <= 1'b0;
112
        valid5[lineno] <= 1'b0;
113
        valid6[lineno] <= 1'b0;
114
        valid7[lineno] <= 1'b0;
115
        valid8[lineno] <= 1'b0;
116
        end
117
    else if (wr) begin
118
        if (en[0]) valid0[lineno] <= 1'b1;
119
        if (en[1]) valid1[lineno] <= 1'b1;
120
        if (en[2]) valid2[lineno] <= 1'b1;
121
        if (en[3]) valid3[lineno] <= 1'b1;
122
        if (en[4]) valid4[lineno] <= 1'b1;
123
        if (en[5]) valid5[lineno] <= 1'b1;
124
        if (en[6]) valid6[lineno] <= 1'b1;
125
        if (en[7]) valid7[lineno] <= 1'b1;
126
        if (en[8]) valid8[lineno] <= 1'b1;
127
    end
128
end
129
 
130
assign o = mem[lineno];
131
assign ov[0] = valid0[lineno];
132
assign ov[1] = valid1[lineno];
133
assign ov[2] = valid2[lineno];
134
assign ov[3] = valid3[lineno];
135
assign ov[4] = valid4[lineno];
136
assign ov[5] = valid5[lineno];
137
assign ov[6] = valid6[lineno];
138
assign ov[7] = valid7[lineno];
139
assign ov[8] = valid8[lineno];
140
 
141
endmodule
142
 
143
// -----------------------------------------------------------------------------
144
// Fully associative (64 way) tag memory for L1 icache.
145
//
146
// -----------------------------------------------------------------------------
147
 
148
module FT64_L1_icache_camtag(rst, clk, nxt, wlineno, wr, wadr, adr, hit, lineno);
149
input rst;
150
input clk;
151
input nxt;
152
output [5:0] wlineno;
153
input wr;
154
input [37:0] adr;
155
input [37:0] wadr;
156
output hit;
157
output reg [5:0] lineno;
158
 
159
wire [35:0] wtagi = {9'b0,wadr[37:5]};
160
wire [35:0] tagi = {9'b0,adr[37:5]};
161
wire [63:0] match_addr;
162
 
163
reg [5:0] cntr;
164
always @(posedge clk)
165
if (rst)
166
     cntr <= 6'd0;
167
else begin
168
    if (nxt)  cntr <= cntr + 6'd1;
169
end
170
assign wlineno = cntr;
171
 
172
//wire [21:0] lfsro;
173
//lfsr #(22,22'h0ACE1) u1 (rst, clk, !(wr3|wr2|wr), 1'b0, lfsro);
174
 
175
cam36x64 u01 (rst, clk, wr, cntr[5:0], wtagi, tagi, match_addr);
176
assign hit = |match_addr;
177
 
178
integer n;
179
always @*
180
begin
181
lineno = 0;
182
for (n = 0; n < 64; n = n + 1)
183
    if (match_addr[n]) lineno = n;
184
end
185
 
186
endmodule
187
 
188
 
189
// -----------------------------------------------------------------------------
190
// Four way set associative tag memory for L1 cache.
191
// -----------------------------------------------------------------------------
192
 
193
module FT64_L1_icache_cmptag4way(rst, clk, nxt, wr, adr, lineno, hit);
194
input rst;
195
input clk;
196
input nxt;
197
input wr;
198
input [37:0] adr;
199
output reg [5:0] lineno;
200
output hit;
201
 
202 49 robfinch
(* ram_style="distributed" *)
203 48 robfinch
reg [32:0] mem0 [0:15];
204
reg [32:0] mem1 [0:15];
205
reg [32:0] mem2 [0:15];
206
reg [32:0] mem3 [0:15];
207
reg [37:0] rradr;
208
integer n;
209
initial begin
210
    for (n = 0; n < 16; n = n + 1)
211
    begin
212
        mem0[n] = 0;
213
        mem1[n] = 0;
214
        mem2[n] = 0;
215
        mem3[n] = 0;
216
    end
217
end
218
 
219
wire [21:0] lfsro;
220
lfsr #(22,22'h0ACE3) u1 (rst, clk, nxt, 1'b0, lfsro);
221
reg [5:0] wlineno;
222
always @(posedge clk)
223
if (rst)
224
        wlineno <= 6'h00;
225
else begin
226
        if (wr) begin
227
                case(lfsro[1:0])
228
                2'b00:  begin  mem0[adr[8:5]] <= adr[37:5];  wlineno <= {2'b00,adr[8:5]}; end
229
                2'b01:  begin  mem1[adr[8:5]] <= adr[37:5];  wlineno <= {2'b01,adr[8:5]}; end
230
                2'b10:  begin  mem2[adr[8:5]] <= adr[37:5];  wlineno <= {2'b10,adr[8:5]}; end
231
                2'b11:  begin  mem3[adr[8:5]] <= adr[37:5];  wlineno <= {2'b11,adr[8:5]}; end
232
                endcase
233
        end
234
end
235
 
236
wire hit0 = mem0[adr[8:5]]==adr[37:5];
237
wire hit1 = mem1[adr[8:5]]==adr[37:5];
238
wire hit2 = mem2[adr[8:5]]==adr[37:5];
239
wire hit3 = mem3[adr[8:5]]==adr[37:5];
240
always @*
241
    //if (wr2) lineno = wlineno;
242
    if (hit0)  lineno = {2'b00,adr[8:5]};
243
    else if (hit1)  lineno = {2'b01,adr[8:5]};
244
    else if (hit2)  lineno = {2'b10,adr[8:5]};
245
    else  lineno = {2'b11,adr[8:5]};
246
assign hit = hit0|hit1|hit2|hit3;
247
endmodule
248
 
249
 
250
// -----------------------------------------------------------------------------
251
// 32 way, 16 set associative tag memory for L2 cache
252
// -----------------------------------------------------------------------------
253
 
254
module FT64_L2_icache_camtag(rst, clk, wr, adr, hit, lineno);
255
input rst;
256
input clk;
257
input wr;
258
input [37:0] adr;
259
output hit;
260
output [8:0] lineno;
261
 
262
wire [3:0] set = adr[13:10];
263
wire [35:0] tagi = {7'd0,adr[37:14],adr[9:5]};
264
reg [4:0] encadr;
265
assign lineno[4:0] = encadr;
266
assign lineno[8:5] = adr[13:10];
267
reg [15:0] we;
268
wire [31:0] ma [0:15];
269
always @*
270
begin
271
    we <= 16'h0000;
272
    we[set] <= wr;
273
end
274
 
275
reg wr2;
276
wire [21:0] lfsro;
277
lfsr #(22,22'h0ACE2) u1 (rst, clk, !(wr2|wr), 1'b0, lfsro);
278
 
279
always @(posedge clk)
280
     wr2 <= wr;
281
 
282
genvar g;
283
generate
284
begin
285
for (g = 0; g < 16; g = g + 1)
286
    cam36x32 u01 (clk, we[g], lfsro[4:0], tagi, tagi, ma[g]);
287
end
288
endgenerate
289
wire [31:0] match_addr = ma[set];
290
assign hit = |match_addr;
291
 
292
integer n;
293
always @*
294
begin
295
encadr = 0;
296
for (n = 0; n < 32; n = n + 1)
297
    if (match_addr[n]) encadr = n;
298
end
299
 
300
endmodule
301
 
302
// -----------------------------------------------------------------------------
303
// -----------------------------------------------------------------------------
304
 
305
module FT64_L1_icache(rst, clk, nxt, wr, en, wadr, adr, i, o, hit, invall, invline);
306
parameter CAMTAGS = 1'b0;   // 32 way
307
parameter FOURWAY = 1'b1;
308
input rst;
309
input clk;
310
input nxt;
311
input wr;
312 49 robfinch
input [8:0] en;
313 48 robfinch
input [37:0] adr;
314
input [37:0] wadr;
315 49 robfinch
input [287:0] i;
316 48 robfinch
output reg [47:0] o;
317
output hit;
318
input invall;
319
input invline;
320
 
321 49 robfinch
wire [287:0] ic;
322
reg [287:0] i1, i2;
323
wire [8:0] lv;                           // line valid
324 48 robfinch
wire [5:0] lineno;
325
wire [5:0] wlineno;
326
wire taghit;
327
reg wr1,wr2;
328 49 robfinch
reg [8:0] en1, en2;
329 48 robfinch
reg invline1, invline2;
330
 
331
// Must update the cache memory on the cycle after a write to the tag memmory.
332
// Otherwise lineno won't be valid. Tag memory takes two clock cycles to update.
333
always @(posedge clk)
334
     wr1 <= wr;
335
always @(posedge clk)
336
     wr2 <= wr1;
337
always @(posedge clk)
338 49 robfinch
        i1 <= i[287:0];
339 48 robfinch
always @(posedge clk)
340
        i2 <= i1;
341
always @(posedge clk)
342
        en1 <= en;
343
always @(posedge clk)
344
        en2 <= en1;
345
always @(posedge clk)
346
        invline1 <= invline;
347
always @(posedge clk)
348
        invline2 <= invline1;
349
 
350
generate begin : tags
351
if (FOURWAY) begin
352
 
353
FT64_L1_icache_mem u1
354
(
355
    .rst(rst),
356
    .clk(clk),
357
    .wr(wr1),
358
    .en(en1),
359
    .i(i1),
360
    .lineno(lineno),
361
    .o(ic),
362
    .ov(lv),
363
    .invall(invall),
364
    .invline(invline1)
365
);
366
 
367
FT64_L1_icache_cmptag4way u3
368
(
369
        .rst(rst),
370
        .clk(clk),
371
        .nxt(nxt),
372
        .wr(wr),
373
        .adr(adr),
374
        .lineno(lineno),
375
        .hit(taghit)
376
);
377
end
378
else if (CAMTAGS) begin
379
 
380
FT64_L1_icache_mem u1
381
(
382
    .rst(rst),
383
    .clk(clk),
384
    .wr(wr2),
385
    .en(en2),
386
    .i(i2),
387
    .lineno(lineno),
388
    .o(ic),
389
    .ov(lv),
390
    .invall(invall),
391
    .invline(invline2)
392
);
393
 
394
FT64_L1_icache_camtag u2
395
(
396
    .rst(rst),
397
    .clk(clk),
398
    .nxt(nxt),
399
    .wlineno(wlineno),
400
    .wadr(wadr),
401
    .wr(wr),
402
    .adr(adr),
403
    .lineno(lineno),
404
    .hit(taghit)
405
);
406
end
407
end
408
endgenerate
409
 
410
assign hit = taghit & &lv; //[adr[4:2]];
411
 
412
//always @(radr or ic0 or ic1)
413
always @(adr or ic)
414
        o <= ic >> {adr[4:1],4'h0};
415
/*
416
case(adr[4:2])
417
3'd0:  o <= ic[31:0];
418
3'd1:  o <= ic[63:32];
419
3'd2:  o <= ic[95:64];
420
3'd3:  o <= ic[127:96];
421
3'd4:  o <= ic[159:128];
422
3'd5:  o <= ic[191:160];
423
3'd6:  o <= ic[223:192];
424
3'd7:  o <= ic[255:224];
425
endcase
426
*/
427
endmodule
428
 
429
// -----------------------------------------------------------------------------
430
// -----------------------------------------------------------------------------
431
 
432
module FT64_L2_icache_mem(clk, wr, lineno, sel, i, o, ov, invall, invline);
433
input clk;
434
input wr;
435
input [8:0] lineno;
436
input [2:0] sel;
437
input [63:0] i;
438 49 robfinch
output [287:0] o;
439 48 robfinch
output reg ov;
440
input invall;
441
input invline;
442
 
443 49 robfinch
(* ram_style="block" *)
444 48 robfinch
reg [63:0] mem0 [0:511];
445
reg [63:0] mem1 [0:511];
446
reg [63:0] mem2 [0:511];
447
reg [63:0] mem3 [0:511];
448 49 robfinch
reg [31:0] mem4 [0:511];
449 48 robfinch
reg [511:0] valid;
450
reg [8:0] rrcl;
451
 
452
//  instruction parcels per cache line
453
wire [8:0] cache_line;
454
integer n;
455
initial begin
456
    for (n = 0; n < 512; n = n + 1)
457
        valid[n] <= 0;
458
end
459
 
460
always @(posedge clk)
461
    if (invall)  valid <= 512'd0;
462
    else if (invline)  valid[lineno] <= 1'b0;
463
    else if (wr)  valid[lineno] <= 1'b1;
464
 
465
always @(posedge clk)
466
begin
467
    if (wr) begin
468
        case(sel[2:0])
469
        3'd0:    mem0[lineno] <= i;
470
        3'd1:    mem1[lineno] <= i;
471
        3'd2:    mem2[lineno] <= i;
472
        3'd3:    mem3[lineno] <= i;
473 49 robfinch
        3'd4:    mem4[lineno] <= i[31:0];
474 48 robfinch
        endcase
475
    end
476
end
477
 
478
always @(posedge clk)
479
     rrcl <= lineno;
480
 
481
always @(posedge clk)
482
     ov <= valid[lineno];
483
 
484
assign o = {mem4[rrcl],mem3[rrcl],mem2[rrcl],mem1[rrcl],mem0[rrcl]};
485
 
486
endmodule
487
 
488
// -----------------------------------------------------------------------------
489
// Because the line to update is driven by the output of the cam tag memory,
490
// the tag write should occur only during the first half of the line load.
491
// Otherwise the line number would change in the middle of the line. The
492
// first half of the line load is signified by an even hexibyte address (
493
// address bit 4).
494
// -----------------------------------------------------------------------------
495
 
496
module FT64_L2_icache(rst, clk, nxt, wr, xsel, adr, cnt, exv_i, i, err_i, o, hit, invall, invline);
497
parameter CAMTAGS = 1'b0;   // 32 way
498
parameter FOURWAY = 1'b1;
499
input rst;
500
input clk;
501
input nxt;
502
input wr;
503
input xsel;
504
input [37:0] adr;
505
input [2:0] cnt;
506
input exv_i;
507
input [63:0] i;
508
input err_i;
509 49 robfinch
output [287:0] o;
510 48 robfinch
output hit;
511
input invall;
512
input invline;
513
 
514
wire lv;            // line valid
515
wire [8:0] lineno;
516
wire taghit;
517
reg wr1,wr2;
518
reg [2:0] sel1,sel2;
519
reg [63:0] i1,i2;
520
 
521
// Must update the cache memory on the cycle after a write to the tag memmory.
522
// Otherwise lineno won't be valid. camTag memory takes two clock cycles to update.
523
always @(posedge clk)
524
     wr1 <= wr;
525
always @(posedge clk)
526
     wr2 <= wr1;
527
always @(posedge clk)
528
     sel1 <= {xsel,adr[4:3]};
529
always @(posedge clk)
530
     sel2 <= sel1;
531
// An exception is forced to be stored in the event of an error loading the
532
// the instruction line.
533
always @(posedge clk)
534 49 robfinch
     i1 <= err_i ? {2{15'd0,1'b0,`FLT_IBE,2'b00,`BRK}} : exv_i ? {2{15'd0,1'b0,`FLT_EXF,2'b00,`BRK}} : i;
535 48 robfinch
always @(posedge clk)
536
     i2 <= i1;
537
 
538
wire pe_wr;
539
edge_det u3 (.rst(rst), .clk(clk), .ce(1'b1), .i(wr && cnt==3'd0), .pe(pe_wr), .ne(), .ee() );
540
 
541
FT64_L2_icache_mem u1
542
(
543
    .clk(clk),
544
    .wr(wr2),
545
    .lineno(lineno),
546
    .sel(sel2),
547
    .i(i2),
548
    .o(o),
549
    .ov(lv),
550
    .invall(invall),
551
    .invline(invline)
552
);
553
 
554
generate
555
begin : tags
556
if (FOURWAY)
557
FT64_L2_icache_cmptag4way u2
558
(
559
    .rst(rst),
560
    .clk(clk),
561
    .nxt(nxt),
562
    .wr(pe_wr),
563
    .adr(adr),
564
    .lineno(lineno),
565
    .hit(taghit)
566
);
567
else if (CAMTAGS)
568
FT64_L2_icache_camtag u2
569
(
570
    .rst(rst),
571
    .clk(clk),
572
    .wr(pe_wr),
573
    .adr(adr),
574
    .lineno(lineno),
575
    .hit(taghit)
576
);
577
else
578
FT64_L2_icache_cmptag u2
579
(
580
    .rst(rst),
581
    .clk(clk),
582
    .wr(pe_wr),
583
    .adr(adr),
584
    .lineno(lineno),
585
    .hit(taghit)
586
);
587
end
588
endgenerate
589
 
590
assign hit = taghit & lv;
591
 
592
endmodule
593
 
594
// Four way set associative tag memory
595
module FT64_L2_icache_cmptag4way(rst, clk, nxt, wr, adr, lineno, hit);
596
input rst;
597
input clk;
598
input nxt;
599
input wr;
600
input [37:0] adr;
601
output reg [8:0] lineno;
602
output hit;
603
 
604 49 robfinch
(* ram_style="block" *)
605 48 robfinch
reg [32:0] mem0 [0:127];
606
reg [32:0] mem1 [0:127];
607
reg [32:0] mem2 [0:127];
608
reg [32:0] mem3 [0:127];
609
reg [37:0] rradr;
610
integer n;
611
initial begin
612
    for (n = 0; n < 128; n = n + 1)
613
    begin
614
        mem0[n] = 0;
615
        mem1[n] = 0;
616
        mem2[n] = 0;
617
        mem3[n] = 0;
618
    end
619
end
620
 
621
reg wr2;
622
wire [21:0] lfsro;
623
lfsr #(22,22'h0ACE3) u1 (rst, clk, nxt, 1'b0, lfsro);
624
reg [8:0] wlineno;
625
always @(posedge clk)
626
if (rst)
627
        wlineno <= 9'h000;
628
else begin
629
     wr2 <= wr;
630
        if (wr) begin
631
                case(lfsro[1:0])
632
                2'b00:  begin  mem0[adr[11:5]] <= adr[37:5];  wlineno <= {2'b00,adr[11:5]}; end
633
                2'b01:  begin  mem1[adr[11:5]] <= adr[37:5];  wlineno <= {2'b01,adr[11:5]}; end
634
                2'b10:  begin  mem2[adr[11:5]] <= adr[37:5];  wlineno <= {2'b10,adr[11:5]}; end
635
                2'b11:  begin  mem3[adr[11:5]] <= adr[37:5];  wlineno <= {2'b11,adr[11:5]}; end
636
                endcase
637
        end
638
     rradr <= adr;
639
end
640
 
641
wire hit0 = mem0[rradr[11:5]]==rradr[37:5];
642
wire hit1 = mem1[rradr[11:5]]==rradr[37:5];
643
wire hit2 = mem2[rradr[11:5]]==rradr[37:5];
644
wire hit3 = mem3[rradr[11:5]]==rradr[37:5];
645
always @*
646
    if (wr2) lineno = wlineno;
647
    else if (hit0)  lineno = {2'b00,rradr[11:5]};
648
    else if (hit1)  lineno = {2'b01,rradr[11:5]};
649
    else if (hit2)  lineno = {2'b10,rradr[11:5]};
650
    else  lineno = {2'b11,rradr[11:5]};
651
assign hit = hit0|hit1|hit2|hit3;
652
endmodule
653
 
654
// Simple tag array, 1-way direct mapped
655
module FT64_L2_icache_cmptag(rst, clk, wr, adr, lineno, hit);
656
input rst;
657
input clk;
658
input wr;
659
input [37:0] adr;
660
output reg [8:0] lineno;
661
output hit;
662
 
663
reg [23:0] mem [0:511];
664
reg [37:0] rradr;
665
integer n;
666
initial begin
667
    for (n = 0; n < 512; n = n + 1)
668
    begin
669
        mem[n] = 0;
670
    end
671
end
672
 
673
reg wr2;
674
always @(posedge clk)
675
     wr2 <= wr;
676
reg [8:0] wlineno;
677
always @(posedge clk)
678
begin
679
    if (wr) begin  mem[adr[13:5]] <= adr[37:14];  wlineno <= adr[13:5]; end
680
end
681
always @(posedge clk)
682
     rradr <= adr;
683
wire hit = mem[rradr[13:5]]==rradr[37:14];
684
always @*
685
    if (wr2)  lineno = wlineno;
686
    else  lineno = rradr[13:5];
687
endmodule
688
 
689
// -----------------------------------------------------------------------------
690
// -----------------------------------------------------------------------------
691
 
692
module FT64_dcache_mem(wclk, wr, wadr, i, rclk, radr, o0, o1);
693
input wclk;
694
input wr;
695
input [11:0] wadr;
696
input [63:0] i;
697
input rclk;
698
input [11:0] radr;
699
output [255:0] o0;
700
output [255:0] o1;
701
 
702
reg [11:0] rradr,rradrp8;
703
 
704
always @(posedge rclk)
705
     rradr <= radr;
706
always @(posedge rclk)
707
     rradrp8 <= radr + 14'd8;
708
 
709
genvar n;
710
generate
711
begin
712
for (n = 0; n < 8; n = n + 1)
713
begin : dmem
714
reg [7:0] mem [7:0][0:511];
715
always @(posedge wclk)
716
begin
717
    if (wr && (wadr[2:0]==n))  mem[n][wadr[11:3]] <= i;
718
end
719
assign o0[n*32+31:n*32] = mem[n][rradr[11:3]];
720
assign o1[n*32+31:n*32] = mem[n][rradrp8[11:3]];
721
end
722
end
723
endgenerate
724
 
725
endmodule
726
 
727
// -----------------------------------------------------------------------------
728
// -----------------------------------------------------------------------------
729
 
730
module FT64_dcache_tag(wclk, wr, wadr, rclk, radr, hit0, hit1);
731
input wclk;
732
input wr;
733
input [37:0] wadr;
734
input rclk;
735
input [37:0] radr;
736
output reg hit0;
737
output reg hit1;
738
 
739
wire [31:0] tago0, tago1;
740
wire [37:0] radrp8 = radr + 32'd32;
741
 
742
FT64_dcache_tag2 u1 (
743
  .clka(wclk),    // input wire clka
744
  .ena(1'b1),      // input wire ena
745
  .wea(wr),      // input wire [0 : 0] wea
746
  .addra(wadr[13:5]),  // input wire [8 : 0] addra
747
  .dina(wadr[37:14]),    // input wire [31 : 0] dina
748
  .clkb(rclk),    // input wire clkb
749
  .web(1'b0),
750
  .dinb(32'd0),
751
  .enb(1'b1),
752
  .addrb(radr[13:5]),  // input wire [8 : 0] addrb
753
  .doutb(tago0)  // output wire [31 : 0] doutb
754
);
755
 
756
FT64_dcache_tag2 u2 (
757
  .clka(wclk),    // input wire clka
758
  .ena(1'b1),      // input wire ena
759
  .wea(wr),      // input wire [0 : 0] wea
760
  .addra(wadr[13:5]),  // input wire [8 : 0] addra
761
  .dina(wadr[37:14]),    // input wire [31 : 0] dina
762
  .clkb(rclk),    // input wire clkb
763
  .web(1'b0),
764
  .dinb(32'd0),
765
  .enb(1'b1),
766
  .addrb(radrp8[13:5]),  // input wire [8 : 0] addrb
767
  .doutb(tago1)  // output wire [31 : 0] doutb
768
);
769
 
770
always @(posedge rclk)
771
     hit0 <= tago0[23:0]==radr[37:14];
772
always @(posedge rclk)
773
     hit1 <= tago1[23:0]==radrp8[37:14];
774
 
775
endmodule
776
 
777
// -----------------------------------------------------------------------------
778
// -----------------------------------------------------------------------------
779
 
780
module FT64_dcache(rst, wclk, wr, sel, wadr, i, li, rclk, rdsize, radr, o, lo, hit, hit0, hit1);
781
input rst;
782
input wclk;
783
input wr;
784
input [7:0] sel;
785
input [37:0] wadr;
786
input [63:0] i;
787
input [255:0] li;                // line input
788
input rclk;
789
input [2:0] rdsize;
790
input [37:0] radr;
791
output reg [63:0] o;
792
output reg [255:0] lo;   // line out
793
output reg hit;
794
output reg hit0;
795
output reg hit1;
796
parameter byt = 3'd0;
797
parameter wyde = 3'd1;
798
parameter tetra = 3'd2;
799
parameter octa = 3'd3;
800
 
801
wire [255:0] dc0, dc1;
802
wire [31:0] v0, v1;
803
wire [13:0] radrp8 = radr + 32'd32;
804
wire hit0a, hit1a;
805
 
806
dcache_mem u1 (
807
  .rst(rst),
808
  .clka(wclk),    // input wire clka
809
  .ena(wr),      // input wire ena
810
  .wea(sel),      // input wire [15 : 0] wea
811
  .addra(wadr[13:0]),  // input wire [9 : 0] addra
812
  .dina(i),    // input wire [127 : 0] dina
813
  .clkb(rclk),    // input wire clkb
814
  .addrb(radr[13:0]),  // input wire [8 : 0] addrb
815
  .doutb(dc0),  // output wire [255 : 0] doutb
816
  .ov(v0)
817
);
818
 
819
dcache_mem u2 (
820
  .rst(rst),
821
  .clka(wclk),    // input wire clka
822
  .ena(wr),      // input wire ena
823
  .wea(sel),      // input wire [15 : 0] wea
824
  .addra(wadr[13:0]),  // input wire [9 : 0] addra
825
  .dina(i),    // input wire [127 : 0] dina
826
  .clkb(rclk),    // input wire clkb
827
  .addrb(radrp8[13:0]),  // input wire [8 : 0] addrb
828
  .doutb(dc1),  // output wire [255 : 0] doutb
829
  .ov(v1)
830
);
831
 
832
FT64_dcache_tag u3
833
(
834
    .wclk(wclk),
835
    .wr(wr),
836
    .wadr(wadr),
837
    .rclk(rclk),
838
    .radr(radr),
839
    .hit0(hit0a),
840
    .hit1(hit1a)
841
);
842
 
843
wire [7:0] v0a = v0 >> radr[4:0];
844
wire [7:0] v1a = v1 >> radrp8[4:0];
845
always @*
846
case(rdsize)
847
byt:    begin
848
        hit0 = hit0a & v0a[0];
849
        hit1 = `TRUE;
850
        end
851
wyde:   begin
852
        hit0 = hit0a & &v0a[1:0];
853
        hit1 = radr[2:0]==3'b111 ? hit1a & v1a[0] : `TRUE;
854
        end
855
tetra:  begin
856
        hit0 = hit0a & &v0a[3:0];
857
        case(radr[2:0])
858
        3'd5:      hit1 = hit1a & v1a[0];
859
        3'd6:      hit1 = hit1a & &v1a[1:0];
860
        3'd7:      hit1 = hit1a & &v1a[2:0];
861
        default:   hit1 = `TRUE;
862
        endcase
863
        end
864
octa:   begin
865
        hit0 = hit0a & &v0a[7:0];
866
        case(radr[2:0])
867
        3'd1:      hit1 = hit1a & v1a[0];
868
        3'd2:      hit1 = hit1a & &v1a[1:0];
869
        3'd3:      hit1 = hit1a & &v1a[2:0];
870
        3'd4:      hit1 = hit1a & &v1a[3:0];
871
        3'd5:      hit1 = hit1a & &v1a[4:0];
872
        3'd6:      hit1 = hit1a & &v1a[5:0];
873
        3'd7:      hit1 = hit1a & &v1a[6:0];
874
        default:   hit1 = `TRUE;
875
        endcase
876
        end
877
default:    begin
878
            hit0 = 1'b0;
879
            hit1 = 1'b0;
880
            end
881
endcase
882
 
883
// hit0, hit1 are also delayed by a clock already
884
always @(posedge rclk)
885
        lo <= dc0;
886
always @(posedge rclk)
887
     o <= dc0 >> {radr[4:3],6'b0};
888
//     o <= {dc1,dc0} >> (radr[4:0] * 8);
889
 
890
always @*
891
    if (hit0 & hit1)
892
        hit = `TRUE;
893
/*
894
    else if (hit0) begin
895
        case(rdsize)
896
        wyde:   hit = radr[4:0] <= 5'h1E;
897
        tetra:  hit = radr[4:0] <= 5'h1C;
898
        penta:  hit = radr[4:0] <= 5'h1B;
899
        deci:   hit = radr[4:0] <= 5'h16;
900
        default:    hit = `TRUE;    // byte
901
        endcase
902
    end
903
*/
904
    else
905
        hit = `FALSE;
906
 
907
endmodule
908
 
909
module dcache_mem(rst, clka, ena, wea, addra, dina, clkb, addrb, doutb, ov);
910
input rst;
911
input clka;
912
input ena;
913
input [7:0] wea;
914
input [13:0] addra;
915
input [63:0] dina;
916
input clkb;
917
input [13:0] addrb;
918
output reg [255:0] doutb;
919
output reg [31:0] ov;
920
 
921
reg [255:0] mem [0:511];
922
reg [31:0] valid [0:511];
923
reg [255:0] doutb1;
924
reg [31:0] ov1;
925
 
926
integer n;
927
 
928
initial begin
929
    for (n = 0; n < 512; n = n + 1)
930
        valid[n] = 32'h00;
931
end
932
 
933
genvar g;
934
generate begin
935
for (g = 0; g < 4; g = g + 1)
936
always @(posedge clka)
937
begin
938
    if (ena & wea[0] & addra[4:3]==g)  mem[addra[13:5]][g*64+7:g*64] <= dina[7:0];
939
    if (ena & wea[1] & addra[4:3]==g)  mem[addra[13:5]][g*64+15:g*64+8] <= dina[15:8];
940
    if (ena & wea[2] & addra[4:3]==g)  mem[addra[13:5]][g*64+23:g*64+16] <= dina[23:16];
941
    if (ena & wea[3] & addra[4:3]==g)  mem[addra[13:5]][g*64+31:g*64+24] <= dina[31:24];
942
    if (ena & wea[4] & addra[4:3]==g)  mem[addra[13:5]][g*64+39:g*64+32] <= dina[39:32];
943
    if (ena & wea[5] & addra[4:3]==g)  mem[addra[13:5]][g*64+47:g*64+40] <= dina[47:40];
944
    if (ena & wea[6] & addra[4:3]==g)  mem[addra[13:5]][g*64+55:g*64+48] <= dina[55:48];
945
    if (ena & wea[7] & addra[4:3]==g)  mem[addra[13:5]][g*64+63:g*64+56] <= dina[63:56];
946
    if (ena & wea[0] & addra[4:3]==g)  valid[addra[13:5]][g*8] <= 1'b1;
947
    if (ena & wea[1] & addra[4:3]==g)  valid[addra[13:5]][g*8+1] <= 1'b1;
948
    if (ena & wea[2] & addra[4:3]==g)  valid[addra[13:5]][g*8+2] <= 1'b1;
949
    if (ena & wea[3] & addra[4:3]==g)  valid[addra[13:5]][g*8+3] <= 1'b1;
950
    if (ena & wea[4] & addra[4:3]==g)  valid[addra[13:5]][g*8+4] <= 1'b1;
951
    if (ena & wea[5] & addra[4:3]==g)  valid[addra[13:5]][g*8+5] <= 1'b1;
952
    if (ena & wea[6] & addra[4:3]==g)  valid[addra[13:5]][g*8+6] <= 1'b1;
953
    if (ena & wea[7] & addra[4:3]==g)  valid[addra[13:5]][g*8+7] <= 1'b1;
954
end
955
end
956
endgenerate
957
always @(posedge clkb)
958
     doutb1 <= mem[addrb[13:5]];
959
always @(posedge clkb)
960
     doutb <= doutb1;
961
always @(posedge clkb)
962
     ov1 <= valid[addrb[13:5]];
963
always @(posedge clkb)
964
     ov <= ov1;
965
endmodule
966
 
967
// -----------------------------------------------------------------------------
968
// Branch target buffer.
969
// -----------------------------------------------------------------------------
970
/*
971
module FT64_BTB(rst, wclk, wr, wadr, wdat, valid, rclk, pcA, btgtA, pcB, btgtB, pcC, btgtC, pcD, btgtD);
972
parameter RSTPC = 32'hFFFC0100;
973
input rst;
974
input wclk;
975
input wr;
976
input [31:0] wadr;
977
input [31:0] wdat;
978
input valid;
979
input rclk;
980
input [31:0] pcA;
981
output [31:0] btgtA;
982
input [31:0] pcB;
983
output [31:0] btgtB;
984
input [31:0] pcC;
985
output [31:0] btgtC;
986
input [31:0] pcD;
987
output [31:0] btgtD;
988
 
989
integer n;
990
reg [60:0] mem [0:1023];
991
reg [9:0] radrA, radrB, radrC, radrD;
992
initial begin
993
    for (n = 0; n < 1024; n = n + 1)
994
        mem[n] <= RSTPC[31:2];
995
end
996
always @(posedge wclk)
997
//if (rst) begin
998
//    // Zero out valid bit
999
//    for (n = 0; n < 1024; n = n + 1)
1000
//        mem[n] <= RSTPC[31:2];
1001
//end
1002
//else
1003
begin
1004
    if (wr) mem[wadr[11:2]][29:0] <= wdat[31:2];
1005
    if (wr) mem[wadr[11:2]][59:30] <= wadr[31:2];
1006
    if (wr) mem[wadr[11:2]][60] <= valid;
1007
end
1008
always @(posedge rclk)
1009
    radrA <= pcA[11:2];
1010
always @(posedge rclk)
1011
    radrB <= pcB[11:2];
1012
always @(posedge rclk)
1013
    radrC <= pcC[11:2];
1014
always @(posedge rclk)
1015
    radrD <= pcD[11:2];
1016
wire hitA = mem[radrA][59:30]==pcA[31:2] && mem[radrA][60];
1017
wire hitB = mem[radrB][59:30]==pcB[31:2] && mem[radrB][60];
1018
wire hitC = mem[radrC][59:30]==pcC[31:2] && mem[radrC][60];
1019
wire hitD = mem[radrD][59:30]==pcD[31:2] && mem[radrD][60];
1020
assign btgtA = hitA ? {mem[radrA][29:0],2'b00} : {pcA + 32'd4};
1021
assign btgtB = hitB ? {mem[radrB][29:0],2'b00} : {pcB + 32'd4};
1022
assign btgtC = hitC ? {mem[radrC][29:0],2'b00} : {pcC + 32'd4};
1023
assign btgtD = hitD ? {mem[radrD][29:0],2'b00} : {pcD + 32'd4};
1024
 
1025
endmodule
1026
*/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.