OpenCores
URL https://opencores.org/ocsvn/tinyvliw8/tinyvliw8/trunk

Subversion Repositories tinyvliw8

[/] [tinyvliw8/] [trunk/] [design/] [AlteraDK1/] [AlteraDK1.qsf] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 steckol
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2011 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II
20
# Version 11.0 Build 208 07/03/2011 Service Pack 1 SJ Web Edition
21
# Date created = 15:41:59  February 24, 2014
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               AlteraDK1_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone II"
40
set_global_assignment -name DEVICE EP2C20F484C7
41
set_global_assignment -name TOP_LEVEL_ENTITY AlteraDK1
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "11.0 SP1"
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:41:59  FEBRUARY 24, 2014"
44 9 steckol
set_global_assignment -name LAST_QUARTUS_VERSION "11.1 SP2"
45 2 steckol
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
46
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
47
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
48
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
49
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
50
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
51
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
52
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
53
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
54
set_location_assignment PIN_D12 -to clock
55
set_location_assignment PIN_E2 -to hex0[6]
56
set_location_assignment PIN_F1 -to hex0[5]
57
set_location_assignment PIN_F2 -to hex0[4]
58
set_location_assignment PIN_H1 -to hex0[3]
59
set_location_assignment PIN_H2 -to hex0[2]
60
set_location_assignment PIN_J1 -to hex0[1]
61
set_location_assignment PIN_J2 -to hex0[0]
62
set_location_assignment PIN_D1 -to hex1[6]
63
set_location_assignment PIN_D2 -to hex1[5]
64
set_location_assignment PIN_G3 -to hex1[4]
65
set_location_assignment PIN_H4 -to hex1[3]
66
set_location_assignment PIN_H5 -to hex1[2]
67
set_location_assignment PIN_H6 -to hex1[1]
68
set_location_assignment PIN_E1 -to hex1[0]
69
set_location_assignment PIN_D3 -to hex2[6]
70
set_location_assignment PIN_E4 -to hex2[5]
71
set_location_assignment PIN_E3 -to hex2[4]
72
set_location_assignment PIN_C1 -to hex2[3]
73
set_location_assignment PIN_C2 -to hex2[2]
74
set_location_assignment PIN_G6 -to hex2[1]
75
set_location_assignment PIN_G5 -to hex2[0]
76
set_location_assignment PIN_D4 -to hex3[6]
77
set_location_assignment PIN_F3 -to hex3[5]
78
set_location_assignment PIN_L8 -to hex3[4]
79
set_location_assignment PIN_J4 -to hex3[3]
80
set_location_assignment PIN_D6 -to hex3[2]
81
set_location_assignment PIN_D5 -to hex3[1]
82
set_location_assignment PIN_F4 -to hex3[0]
83
set_location_assignment PIN_A13 -to jp1[0]
84
set_location_assignment PIN_B13 -to jp1[1]
85
set_location_assignment PIN_A14 -to jp1[2]
86
set_location_assignment PIN_B14 -to jp1[3]
87
set_location_assignment PIN_A15 -to jp1[4]
88
set_location_assignment PIN_B15 -to jp1[5]
89
set_location_assignment PIN_A16 -to jp1[6]
90
set_location_assignment PIN_B16 -to jp1[7]
91
set_location_assignment PIN_A17 -to jp1[8]
92
set_location_assignment PIN_B17 -to jp1[9]
93
set_location_assignment PIN_A18 -to jp1[10]
94
set_location_assignment PIN_B18 -to jp1[11]
95
set_location_assignment PIN_A19 -to jp1[12]
96
set_location_assignment PIN_B19 -to jp1[13]
97
set_location_assignment PIN_A20 -to jp1[14]
98
set_location_assignment PIN_B20 -to jp1[15]
99
set_location_assignment PIN_C21 -to jp1[16]
100
set_location_assignment PIN_C22 -to jp1[17]
101
set_location_assignment PIN_D21 -to jp1[18]
102
set_location_assignment PIN_D22 -to jp1[19]
103
set_location_assignment PIN_E21 -to jp1[20]
104
set_location_assignment PIN_E22 -to jp1[21]
105
set_location_assignment PIN_F21 -to jp1[22]
106
set_location_assignment PIN_F22 -to jp1[23]
107
set_location_assignment PIN_G21 -to jp1[24]
108
set_location_assignment PIN_G22 -to jp1[25]
109
set_location_assignment PIN_J21 -to jp1[26]
110
set_location_assignment PIN_J22 -to jp1[27]
111
set_location_assignment PIN_K21 -to jp1[28]
112
set_location_assignment PIN_K22 -to jp1[29]
113
set_location_assignment PIN_J19 -to jp1[30]
114
set_location_assignment PIN_J20 -to jp1[31]
115
set_location_assignment PIN_J18 -to jp1[32]
116
set_location_assignment PIN_K20 -to jp1[33]
117
set_location_assignment PIN_L19 -to jp1[34]
118
set_location_assignment PIN_L18 -to jp1[35]
119
set_location_assignment PIN_H12 -to jp2[0]
120
set_location_assignment PIN_H13 -to jp2[1]
121
set_location_assignment PIN_H14 -to jp2[2]
122
set_location_assignment PIN_G15 -to jp2[3]
123
set_location_assignment PIN_E14 -to jp2[4]
124
set_location_assignment PIN_E15 -to jp2[5]
125
set_location_assignment PIN_F15 -to jp2[6]
126
set_location_assignment PIN_G16 -to jp2[7]
127
set_location_assignment PIN_F12 -to jp2[8]
128
set_location_assignment PIN_F13 -to jp2[9]
129
set_location_assignment PIN_C14 -to jp2[10]
130
set_location_assignment PIN_D14 -to jp2[11]
131
set_location_assignment PIN_D15 -to jp2[12]
132
set_location_assignment PIN_D16 -to jp2[13]
133
set_location_assignment PIN_C17 -to jp2[14]
134
set_location_assignment PIN_C18 -to jp2[15]
135
set_location_assignment PIN_C19 -to jp2[16]
136
set_location_assignment PIN_C20 -to jp2[17]
137
set_location_assignment PIN_D19 -to jp2[18]
138
set_location_assignment PIN_D20 -to jp2[19]
139
set_location_assignment PIN_E20 -to jp2[20]
140
set_location_assignment PIN_F20 -to jp2[21]
141
set_location_assignment PIN_E19 -to jp2[22]
142
set_location_assignment PIN_E18 -to jp2[23]
143
set_location_assignment PIN_G20 -to jp2[24]
144
set_location_assignment PIN_G18 -to jp2[25]
145
set_location_assignment PIN_G17 -to jp2[26]
146
set_location_assignment PIN_H17 -to jp2[27]
147
set_location_assignment PIN_J15 -to jp2[28]
148
set_location_assignment PIN_H18 -to jp2[29]
149
set_location_assignment PIN_N22 -to jp2[30]
150
set_location_assignment PIN_N21 -to jp2[31]
151
set_location_assignment PIN_P15 -to jp2[32]
152
set_location_assignment PIN_N15 -to jp2[33]
153
set_location_assignment PIN_P17 -to jp2[34]
154
set_location_assignment PIN_P18 -to jp2[35]
155
set_location_assignment PIN_T21 -to key[3]
156
set_location_assignment PIN_T22 -to key[2]
157
set_location_assignment PIN_R21 -to key[1]
158
set_location_assignment PIN_R22 -to key[0]
159
set_location_assignment PIN_Y21 -to led_green[7]
160
set_location_assignment PIN_Y22 -to led_green[6]
161
set_location_assignment PIN_W21 -to led_green[5]
162
set_location_assignment PIN_W22 -to led_green[4]
163
set_location_assignment PIN_V21 -to led_green[3]
164
set_location_assignment PIN_V22 -to led_green[2]
165
set_location_assignment PIN_U21 -to led_green[1]
166
set_location_assignment PIN_U22 -to led_green[0]
167
set_location_assignment PIN_R17 -to led_red[9]
168
set_location_assignment PIN_R18 -to led_red[8]
169
set_location_assignment PIN_U18 -to led_red[7]
170
set_location_assignment PIN_Y18 -to led_red[6]
171
set_location_assignment PIN_V19 -to led_red[5]
172
set_location_assignment PIN_T18 -to led_red[4]
173
set_location_assignment PIN_Y19 -to led_red[3]
174
set_location_assignment PIN_U19 -to led_red[2]
175
set_location_assignment PIN_R19 -to led_red[1]
176
set_location_assignment PIN_R20 -to led_red[0]
177
set_location_assignment PIN_L2 -to sw[9]
178
set_location_assignment PIN_M1 -to sw[8]
179
set_location_assignment PIN_M2 -to sw[7]
180
set_location_assignment PIN_U11 -to sw[6]
181
set_location_assignment PIN_U12 -to sw[5]
182
set_location_assignment PIN_W12 -to sw[4]
183
set_location_assignment PIN_V12 -to sw[3]
184
set_location_assignment PIN_M22 -to sw[2]
185
set_location_assignment PIN_L21 -to sw[1]
186
set_location_assignment PIN_L22 -to sw[0]
187
set_location_assignment PIN_F14 -to uart0_rxd
188
set_location_assignment PIN_G12 -to uart0_txd
189 9 steckol
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE BALANCED
190 2 steckol
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS ON
191
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
192
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
193 9 steckol
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
194
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484
195
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7
196
set_global_assignment -name VHDL_FILE AlteraDK1.vhd
197
set_global_assignment -name QIP_FILE dataMem.qip
198
set_global_assignment -name QIP_FILE instMem.qip
199
set_global_assignment -name SDC_FILE AlteraDK1.sdc
200
set_global_assignment -name VHDL_FILE ../../src/vhdl/proc/vliwProc.vhd
201
set_global_assignment -name VHDL_FILE ../../src/vhdl/proc/statusReg.vhd
202
set_global_assignment -name VHDL_FILE ../../src/vhdl/proc/regSet.vhd
203
set_global_assignment -name VHDL_FILE ../../src/vhdl/proc/pcReg.vhd
204
set_global_assignment -name VHDL_FILE ../../src/vhdl/proc/loadStore.vhd
205
set_global_assignment -name VHDL_FILE ../../src/vhdl/proc/jmpExec.vhd
206
set_global_assignment -name VHDL_FILE ../../src/vhdl/proc/irqCntl.vhd
207
set_global_assignment -name VHDL_FILE ../../src/vhdl/proc/instDecoder.vhd
208
set_global_assignment -name VHDL_FILE ../../src/vhdl/proc/alu.vhd
209
set_global_assignment -name VHDL_FILE ../../src/vhdl/library/latch.vhd
210
set_global_assignment -name VHDL_FILE ../../src/vhdl/timer.vhd
211
set_global_assignment -name VHDL_FILE ../../src/vhdl/spiSlave.vhd
212
set_global_assignment -name VHDL_FILE ../../src/vhdl/spiMaster.vhd
213
set_global_assignment -name VHDL_FILE ../../src/vhdl/rstCtrl.vhd
214
set_global_assignment -name VHDL_FILE ../../src/vhdl/ioport.vhd
215
set_global_assignment -name VHDL_FILE ../../src/vhdl/gendelay.vhd
216
set_global_assignment -name VHDL_FILE ../../src/vhdl/clock_divider.vhd
217 2 steckol
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.