OpenCores
URL https://opencores.org/ocsvn/tinyvliw8/tinyvliw8/trunk

Subversion Repositories tinyvliw8

[/] [tinyvliw8/] [trunk/] [src/] [vhdl/] [library/] [latch.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 steckol
Library ieee;
2
use ieee.std_logic_1164.all;
3
entity latch is
4
    port(
5
        d                        :  in    std_logic;
6
        ena                      :  in    std_logic;
7
        q                        :  out   std_logic);
8
end latch;
9
architecture BEHAVIOR of latch is
10
signal iq : std_logic := '0';
11
begin
12
    process (d, ena)
13
    begin
14
        if (ena = '1') then
15
            iq <= d;
16
        end if;
17
    end process;
18
    q <= iq;
19
end BEHAVIOR;
20
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.