OpenCores
URL https://opencores.org/ocsvn/tms1000/tms1000/trunk

Subversion Repositories tms1000

[/] [tms1000/] [trunk/] [rtl/] [tms1000_tb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 nand_gates
// This file is part of TMS1000 CPU
2
// 
3
// tms1000_tb.v -  Testbench for the TMS1000 processor
4
//
5
// Written By -  Nand Gates (2021)
6
//
7
// This program is free software; you can redistribute it and/or modify it
8
// under the terms of the GNU General Public License as published by the
9
// Free Software Foundation; either version 2, or (at your option) any
10
// later version.
11
//
12
// This program is distributed in the hope that it will be useful,
13
// but WITHOUT ANY WARRANTY; without even the implied warranty of
14
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15
// GNU General Public License for more details.
16
//
17
// In other words, you are welcome to use, share and improve this program.
18
// You are forbidden to forbid anyone else to use, share and improve
19
// what you give them.   Help stamp out software-hoarding!
20
 
21
module tms1000_tb();
22
   /*AUTOREGINPUT*/
23
   // Beginning of automatic reg inputs (for undeclared instantiated-module inputs)
24
   reg         clk;                    // To tms1000 of tms1000.v
25
   tri0 [3:0]  k;                      // To tms1000 of tms1000.v
26
   reg         reset_n;                // To tms1000 of tms1000.v
27
   // End of automatics
28
   /*AUTOWIRE*/
29
   // Beginning of automatic wires (for undeclared instantiated-module outputs)
30
   wire [7:0]  q;                      // From tms1000 of tms1000.v
31
   wire [10:0] r;                      // From tms1000 of tms1000.v
32
   // End of automatics
33
   parameter key_0 = 5'h00;
34
   parameter key_1 = 5'h01;
35
   parameter key_2 = 5'h02;
36
   parameter key_3 = 5'h03;
37
   parameter key_4 = 5'h04;
38
   parameter key_5 = 5'h05;
39
   parameter key_6 = 5'h06;
40
   parameter key_7 = 5'h07;
41
   parameter key_8 = 5'h08;
42
   parameter key_9 = 5'h09;
43
   parameter key_clk   = 5'h0a;
44
   parameter key_c     = 5'h0b;
45
   parameter key_ent   = 5'h0c;
46
   parameter key_plus  = 5'h0d;
47
   parameter key_minus = 5'h0e;
48
   parameter key_mul   = 5'h0f;
49
   parameter key_div   = 5'h10;
50
 
51
   reg         key0     ;
52
   reg         key1     ;
53
   reg         key2     ;
54
   reg         key3     ;
55
   reg         key4     ;
56
   reg         key5     ;
57
   reg         key6     ;
58
   reg         key7     ;
59
   reg         key8     ;
60
   reg         key9     ;
61
   reg         keyclk   ;
62
   reg         keyc     ;
63
   reg         keyent   ;
64
   reg         keyplus  ;
65
   reg         keyminus ;
66
   reg         keymul   ;
67
   reg         keydiv   ;
68
   reg         clk_60;
69
   integer     count;
70
 
71
   reg [7:0]   d0, d1, d2, d3, d4, d5, d6, d7, d8;
72
 
73
   tms1000 tms1000(/*AUTOINST*/
74
                   // Outputs
75
                   .r           (r[10:0]),
76
                   .q           (q[7:0]),
77
                   // Inputs
78
                   .clk         (clk),
79
                   .reset_n     (reset_n),
80
                   .k           (k[3:0]));
81
 
82
   bufif1 buf0 (k[2], key0    , r[0]);
83
   bufif1 buf1 (k[2], key1    , r[1]);
84
   bufif1 buf2 (k[2], key2    , r[2]);
85
   bufif1 buf3 (k[2], key3    , r[3]);
86
   bufif1 buf4 (k[2], key4    , r[4]);
87
   bufif1 buf5 (k[2], key5    , r[5]);
88
   bufif1 buf6 (k[2], key6    , r[6]);
89
 
90
   bufif1 buf7 (k[1], key7    , r[1]);
91
   bufif1 buf8 (k[1], key8    , r[2]);
92
   bufif1 buf9 (k[1], key9    , r[3]);
93
 
94
   bufif1 buf10(k[0], keyclk  , r[0]);
95
   bufif1 buf11(k[0], keyc    , r[1]);
96
   bufif1 buf12(k[0], keyent  , r[2]);
97
   bufif1 buf13(k[0], keyplus , r[3]);
98
   bufif1 buf14(k[0], keyminus, r[4]);
99
   bufif1 buf15(k[0], keymul  , r[5]);
100
   bufif1 buf16(k[0], keydiv  , r[6]);
101
 
102
   bufif1 bufclk (k[3], clk_60 , 1'b1);
103
 
104
   task do_key;
105
      input [4:0] key;
106
      begin
107
          case (key)
108
              key_0     : begin key0    <= 1'b1 ; #25000; key0    <= 1'b0; end
109
              key_1     : begin key1    <= 1'b1 ; #25000; key1    <= 1'b0; end
110
              key_2     : begin key2    <= 1'b1 ; #25000; key2    <= 1'b0; end
111
              key_3     : begin key3    <= 1'b1 ; #25000; key3    <= 1'b0; end
112
              key_4     : begin key4    <= 1'b1 ; #25000; key4    <= 1'b0; end
113
              key_5     : begin key5    <= 1'b1 ; #25000; key5    <= 1'b0; end
114
              key_6     : begin key6    <= 1'b1 ; #25000; key6    <= 1'b0; end
115
              key_7     : begin key7    <= 1'b1 ; #25000; key7    <= 1'b0; end
116
              key_8     : begin key8    <= 1'b1 ; #25000; key8    <= 1'b0; end
117
              key_9     : begin key9    <= 1'b1 ; #25000; key9    <= 1'b0; end
118
              key_clk   : begin keyclk  <= 1'b1 ; #25000; keyclk  <= 1'b0; end
119
              key_c     : begin keyc    <= 1'b1 ; #25000; keyc    <= 1'b0; end
120
              key_ent   : begin keyent  <= 1'b1 ; #25000; keyent  <= 1'b0; end
121
              key_plus  : begin keyplus <= 1'b1 ; #25000; keyplus <= 1'b0; end
122
              key_minus : begin keyminus<= 1'b1 ; #25000; keyminus<= 1'b0; end
123
              key_mul   : begin keymul  <= 1'b1 ; #25000; keymul  <= 1'b0; end
124
              key_div   : begin keydiv  <= 1'b1 ; #25000; keydiv  <= 1'b0; end
125
          endcase // case(key)
126
      end
127
   endtask // do_key
128
 
129
   initial begin
130
      clk = 0;
131
      key0     = 0;
132
      key1     = 0;
133
      key2     = 0;
134
      key3     = 0;
135
      key4     = 0;
136
      key5     = 0;
137
      key6     = 0;
138
      key7     = 0;
139
      key8     = 0;
140
      key9     = 0;
141
      keyclk   = 0;
142
      keyc     = 0;
143
      keyent   = 0;
144
      keyplus  = 0;
145
      keyminus = 0;
146
      keymul   = 0;
147
      keydiv   = 0;
148
      clk_60 = 0;
149
      count = 0;
150
 
151
      d0 = 0;
152
      d1 = 0;
153
      d2 = 0;
154
      d3 = 0;
155
      d4 = 0;
156
      d5 = 0;
157
      d6 = 0;
158
      d7 = 0;
159
      d8 = 0;
160
      reset_n = 0;
161
      #33 reset_n = 1;
162
 
163
/* -----\/----- EXCLUDED -----\/-----
164
       #28000;
165
       do_key(key_1);
166
       #28000;
167
       do_key(key_2);
168
       #28000;
169
       do_key(key_3);
170
       #28000;
171
       do_key(key_4);
172
       #28000;
173
       do_key(key_5);
174
       #28000;
175
       do_key(key_6);
176
       #28000;
177
       do_key(key_7);
178
       #28000;
179
       do_key(key_8);
180
       #28000;
181
       do_key(key_9);
182
 
183
 -----/\----- EXCLUDED -----/\----- */
184
/* -----\/----- EXCLUDED -----\/-----
185
         do_key(key_2);
186
         #28000;
187
         do_key(key_ent);
188
         #28000;
189
         do_key(key_4);
190
         #28000;
191
         do_key(/-*key_minus key_plus*-/ key_mul);
192
        #80000;
193
        $display("Xreg_0 = %h",tms1000_tb.tms1000.ram.Xreg_0[63:0]);
194
        $display("Xreg_1 = %h",tms1000_tb.tms1000.ram.Xreg_1[63:0]);
195
        $display("Xreg_2 = %h",tms1000_tb.tms1000.ram.Xreg_2[63:0]);
196
        $display("Xreg_3 = %h",tms1000_tb.tms1000.ram.Xreg_3[63:0]);
197
        $finish;
198
 -----/\----- EXCLUDED -----/\----- */
199
   end // initial begin
200
   always @(r) begin
201
      case (1'b1)
202
        r[0] : d0 = q;
203
        r[1] : d1 = q;
204
        r[2] : d2 = q;
205
        r[3] : d3 = q;
206
        r[4] : d4 = q;
207
        r[5] : d5 = q;
208
        r[6] : d6 = q;
209
        r[7] : d7 = q;
210
        r[8] : d8 = q;
211
      endcase
212
   end
213
 
214
   always #5 clk = ~clk;
215
   always @(posedge clk)
216
     if (count == 1000) begin
217
        clk_60 <= ~clk_60;
218
        count <= 0;
219
     end else
220
        count <= count + 1;
221
endmodule // tms1000_tb

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.