OpenCores
URL https://opencores.org/ocsvn/tosnet/tosnet/trunk

Subversion Repositories tosnet

[/] [tosnet/] [trunk/] [gateware/] [MicroBlaze_Peripheral_rev3_2/] [pcores/] [tosnet_v3_20_a/] [hdl/] [vhdl/] [tosnet.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sonicwave
------------------------------------------------------------------------------
2
-- tosnet.vhd - entity/architecture pair
3
------------------------------------------------------------------------------
4
-- IMPORTANT:
5
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
6
--
7
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
8
--
9
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
10
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
11
-- OF THE USER_LOGIC ENTITY.
12
------------------------------------------------------------------------------
13
--
14
-- ***************************************************************************
15
-- ** Copyright (c) 1995-2010 Xilinx, Inc.  All rights reserved.            **
16
-- **                                                                       **
17
-- ** Xilinx, Inc.                                                          **
18
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"         **
19
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND       **
20
-- ** SOLUTIONS FOR XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE,        **
21
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,        **
22
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION           **
23
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,     **
24
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE      **
25
-- ** FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY              **
26
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE               **
27
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR        **
28
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF       **
29
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS       **
30
-- ** FOR A PARTICULAR PURPOSE.                                             **
31
-- **                                                                       **
32
-- ***************************************************************************
33
--
34
------------------------------------------------------------------------------
35
-- Filename:          tosnet.vhd
36
-- Version:           3.20.a
37
-- Description:       Top level design, instantiates library components and user logic.
38
-- Date:              Tue Aug 03 15:27:10 2010 (by Create and Import Peripheral Wizard)
39
-- VHDL Standard:     VHDL'93
40
------------------------------------------------------------------------------
41
-- Naming Conventions:
42
--   active low signals:                    "*_n"
43
--   clock signals:                         "clk", "clk_div#", "clk_#x"
44
--   reset signals:                         "rst", "rst_n"
45
--   generics:                              "C_*"
46
--   user defined types:                    "*_TYPE"
47
--   state machine next state:              "*_ns"
48
--   state machine current state:           "*_cs"
49
--   combinatorial signals:                 "*_com"
50
--   pipelined or register delay signals:   "*_d#"
51
--   counter signals:                       "*cnt*"
52
--   clock enable signals:                  "*_ce"
53
--   internal version of output port:       "*_i"
54
--   device pins:                           "*_pin"
55
--   ports:                                 "- Names begin with Uppercase"
56
--   processes:                             "*_PROCESS"
57
--   component instantiations:              "<ENTITY_>I_<#|FUNC>"
58
------------------------------------------------------------------------------
59
 
60
library ieee;
61
use ieee.std_logic_1164.all;
62
use ieee.std_logic_arith.all;
63
use ieee.std_logic_unsigned.all;
64
 
65
library proc_common_v3_00_a;
66
use proc_common_v3_00_a.proc_common_pkg.all;
67
use proc_common_v3_00_a.ipif_pkg.all;
68
 
69
library plbv46_slave_single_v1_01_a;
70
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
71
 
72
library tosnet_v3_20_a;
73
use tosnet_v3_20_a.user_logic;
74
 
75
------------------------------------------------------------------------------
76
-- Entity section
77
------------------------------------------------------------------------------
78
-- Definition of Generics:
79
--   C_BASEADDR                   -- PLBv46 slave: base address
80
--   C_HIGHADDR                   -- PLBv46 slave: high address
81
--   C_SPLB_AWIDTH                -- PLBv46 slave: address bus width
82
--   C_SPLB_DWIDTH                -- PLBv46 slave: data bus width
83
--   C_SPLB_NUM_MASTERS           -- PLBv46 slave: Number of masters
84
--   C_SPLB_MID_WIDTH             -- PLBv46 slave: master ID bus width
85
--   C_SPLB_NATIVE_DWIDTH         -- PLBv46 slave: internal native data bus width
86
--   C_SPLB_P2P                   -- PLBv46 slave: point to point interconnect scheme
87
--   C_SPLB_SUPPORT_BURSTS        -- PLBv46 slave: support bursts
88
--   C_SPLB_SMALLEST_MASTER       -- PLBv46 slave: width of the smallest master
89
--   C_SPLB_CLK_PERIOD_PS         -- PLBv46 slave: bus clock in picoseconds
90
--   C_INCLUDE_DPHASE_TIMER       -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
91
--   C_FAMILY                     -- Xilinx FPGA family
92
--   C_MEM0_BASEADDR              -- User memory space 0 base address
93
--   C_MEM0_HIGHADDR              -- User memory space 0 high address
94
--
95
-- Definition of Ports:
96
--   SPLB_Clk                     -- PLB main bus clock
97
--   SPLB_Rst                     -- PLB main bus reset
98
--   PLB_ABus                     -- PLB address bus
99
--   PLB_UABus                    -- PLB upper address bus
100
--   PLB_PAValid                  -- PLB primary address valid indicator
101
--   PLB_SAValid                  -- PLB secondary address valid indicator
102
--   PLB_rdPrim                   -- PLB secondary to primary read request indicator
103
--   PLB_wrPrim                   -- PLB secondary to primary write request indicator
104
--   PLB_masterID                 -- PLB current master identifier
105
--   PLB_abort                    -- PLB abort request indicator
106
--   PLB_busLock                  -- PLB bus lock
107
--   PLB_RNW                      -- PLB read/not write
108
--   PLB_BE                       -- PLB byte enables
109
--   PLB_MSize                    -- PLB master data bus size
110
--   PLB_size                     -- PLB transfer size
111
--   PLB_type                     -- PLB transfer type
112
--   PLB_lockErr                  -- PLB lock error indicator
113
--   PLB_wrDBus                   -- PLB write data bus
114
--   PLB_wrBurst                  -- PLB burst write transfer indicator
115
--   PLB_rdBurst                  -- PLB burst read transfer indicator
116
--   PLB_wrPendReq                -- PLB write pending bus request indicator
117
--   PLB_rdPendReq                -- PLB read pending bus request indicator
118
--   PLB_wrPendPri                -- PLB write pending request priority
119
--   PLB_rdPendPri                -- PLB read pending request priority
120
--   PLB_reqPri                   -- PLB current request priority
121
--   PLB_TAttribute               -- PLB transfer attribute
122
--   Sl_addrAck                   -- Slave address acknowledge
123
--   Sl_SSize                     -- Slave data bus size
124
--   Sl_wait                      -- Slave wait indicator
125
--   Sl_rearbitrate               -- Slave re-arbitrate bus indicator
126
--   Sl_wrDAck                    -- Slave write data acknowledge
127
--   Sl_wrComp                    -- Slave write transfer complete indicator
128
--   Sl_wrBTerm                   -- Slave terminate write burst transfer
129
--   Sl_rdDBus                    -- Slave read data bus
130
--   Sl_rdWdAddr                  -- Slave read word address
131
--   Sl_rdDAck                    -- Slave read data acknowledge
132
--   Sl_rdComp                    -- Slave read transfer complete indicator
133
--   Sl_rdBTerm                   -- Slave terminate read burst transfer
134
--   Sl_MBusy                     -- Slave busy indicator
135
--   Sl_MWrErr                    -- Slave write error indicator
136
--   Sl_MRdErr                    -- Slave read error indicator
137
--   Sl_MIRQ                      -- Slave interrupt indicator
138
------------------------------------------------------------------------------
139
 
140
entity tosnet is
141
  generic
142
  (
143
    -- ADD USER GENERICS BELOW THIS LINE ---------------
144
    C_REG_ENABLE                   : std_logic_vector(7 downto 0)     := X"00";
145
    C_NODE_ID                      : integer              := 0;
146
        C_MAX_SKIPPED_READS            : integer              := 0;
147
        C_MAX_SKIPPED_WRITES           : integer              := 0;
148
        C_WATCHDOG_THRESHOLD           : integer              := 16384;
149
        C_DISABLE_MASTER               : std_logic            := '0';
150
        C_DISABLE_SLAVE                : std_logic            := '0';
151
        C_DISABLE_ASYNC                : std_logic            := '0';
152
    -- ADD USER GENERICS ABOVE THIS LINE ---------------
153
 
154
    -- DO NOT EDIT BELOW THIS LINE ---------------------
155
    -- Bus protocol parameters, do not add to or delete
156
    C_BASEADDR                     : std_logic_vector     := X"FFFFFFFF";
157
    C_HIGHADDR                     : std_logic_vector     := X"00000000";
158
    C_SPLB_AWIDTH                  : integer              := 32;
159
    C_SPLB_DWIDTH                  : integer              := 128;
160
    C_SPLB_NUM_MASTERS             : integer              := 8;
161
    C_SPLB_MID_WIDTH               : integer              := 3;
162
    C_SPLB_NATIVE_DWIDTH           : integer              := 32;
163
    C_SPLB_P2P                     : integer              := 0;
164
    C_SPLB_SUPPORT_BURSTS          : integer              := 0;
165
    C_SPLB_SMALLEST_MASTER         : integer              := 32;
166
    C_SPLB_CLK_PERIOD_PS           : integer              := 10000;
167
    C_INCLUDE_DPHASE_TIMER         : integer              := 0;
168
    C_FAMILY                       : string               := "virtex5";
169
    C_MEM0_BASEADDR                : std_logic_vector     := X"FFFFFFFF";
170
    C_MEM0_HIGHADDR                : std_logic_vector     := X"00000000"
171
    -- DO NOT EDIT ABOVE THIS LINE ---------------------
172
  );
173
  port
174
  (
175
    -- ADD USER PORTS BELOW THIS LINE ------------------
176
    sig_in                         : in  std_logic;
177
        sig_out                        : out std_logic;
178
        clk_50M                        : in  std_logic;
179
        sync_strobe                    : out std_logic;
180
        system_halt                    : out std_logic;
181
    -- ADD USER PORTS ABOVE THIS LINE ------------------
182
 
183
    -- DO NOT EDIT BELOW THIS LINE ---------------------
184
    -- Bus protocol ports, do not add to or delete
185
    SPLB_Clk                       : in  std_logic;
186
    SPLB_Rst                       : in  std_logic;
187
    PLB_ABus                       : in  std_logic_vector(0 to 31);
188
    PLB_UABus                      : in  std_logic_vector(0 to 31);
189
    PLB_PAValid                    : in  std_logic;
190
    PLB_SAValid                    : in  std_logic;
191
    PLB_rdPrim                     : in  std_logic;
192
    PLB_wrPrim                     : in  std_logic;
193
    PLB_masterID                   : in  std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
194
    PLB_abort                      : in  std_logic;
195
    PLB_busLock                    : in  std_logic;
196
    PLB_RNW                        : in  std_logic;
197
    PLB_BE                         : in  std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
198
    PLB_MSize                      : in  std_logic_vector(0 to 1);
199
    PLB_size                       : in  std_logic_vector(0 to 3);
200
    PLB_type                       : in  std_logic_vector(0 to 2);
201
    PLB_lockErr                    : in  std_logic;
202
    PLB_wrDBus                     : in  std_logic_vector(0 to C_SPLB_DWIDTH-1);
203
    PLB_wrBurst                    : in  std_logic;
204
    PLB_rdBurst                    : in  std_logic;
205
    PLB_wrPendReq                  : in  std_logic;
206
    PLB_rdPendReq                  : in  std_logic;
207
    PLB_wrPendPri                  : in  std_logic_vector(0 to 1);
208
    PLB_rdPendPri                  : in  std_logic_vector(0 to 1);
209
    PLB_reqPri                     : in  std_logic_vector(0 to 1);
210
    PLB_TAttribute                 : in  std_logic_vector(0 to 15);
211
    Sl_addrAck                     : out std_logic;
212
    Sl_SSize                       : out std_logic_vector(0 to 1);
213
    Sl_wait                        : out std_logic;
214
    Sl_rearbitrate                 : out std_logic;
215
    Sl_wrDAck                      : out std_logic;
216
    Sl_wrComp                      : out std_logic;
217
    Sl_wrBTerm                     : out std_logic;
218
    Sl_rdDBus                      : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
219
    Sl_rdWdAddr                    : out std_logic_vector(0 to 3);
220
    Sl_rdDAck                      : out std_logic;
221
    Sl_rdComp                      : out std_logic;
222
    Sl_rdBTerm                     : out std_logic;
223
    Sl_MBusy                       : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
224
    Sl_MWrErr                      : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
225
    Sl_MRdErr                      : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
226
    Sl_MIRQ                        : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1)
227
    -- DO NOT EDIT ABOVE THIS LINE ---------------------
228
  );
229
 
230
  attribute SIGIS : string;
231
  attribute SIGIS of SPLB_Clk      : signal is "CLK";
232
  attribute SIGIS of SPLB_Rst      : signal is "RST";
233
 
234
end entity tosnet;
235
 
236
------------------------------------------------------------------------------
237
-- Architecture section
238
------------------------------------------------------------------------------
239
 
240
architecture IMP of tosnet is
241
 
242
  ------------------------------------------
243
  -- Array of base/high address pairs for each address range
244
  ------------------------------------------
245
  constant ZERO_ADDR_PAD                  : std_logic_vector(0 to 31) := (others => '0');
246
  constant USER_SLV_BASEADDR              : std_logic_vector     := C_BASEADDR;
247
  constant USER_SLV_HIGHADDR              : std_logic_vector     := C_HIGHADDR;
248
 
249
  constant IPIF_ARD_ADDR_RANGE_ARRAY      : SLV64_ARRAY_TYPE     :=
250
    (
251
      ZERO_ADDR_PAD & USER_SLV_BASEADDR,  -- user logic slave space base address
252
      ZERO_ADDR_PAD & USER_SLV_HIGHADDR,  -- user logic slave space high address
253
      ZERO_ADDR_PAD & C_MEM0_BASEADDR,    -- user logic memory space 0 base address
254
      ZERO_ADDR_PAD & C_MEM0_HIGHADDR     -- user logic memory space 0 high address
255
    );
256
 
257
  ------------------------------------------
258
  -- Array of desired number of chip enables for each address range
259
  ------------------------------------------
260
  constant USER_SLV_NUM_REG               : integer              := 5;
261
  constant USER_NUM_REG                   : integer              := USER_SLV_NUM_REG;
262
  constant USER_NUM_MEM                   : integer              := 1;
263
 
264
  constant IPIF_ARD_NUM_CE_ARRAY          : INTEGER_ARRAY_TYPE   :=
265
    (
266
 
267
      1  => 1                             -- number of ce for user logic memory space 0 (always 1 chip enable)
268
    );
269
 
270
  ------------------------------------------
271
  -- Ratio of bus clock to core clock (for use in dual clock systems)
272
  -- 1 = ratio is 1:1
273
  -- 2 = ratio is 2:1
274
  ------------------------------------------
275
  constant IPIF_BUS2CORE_CLK_RATIO        : integer              := 1;
276
 
277
  ------------------------------------------
278
  -- Width of the slave data bus (32 only)
279
  ------------------------------------------
280
  constant USER_SLV_DWIDTH                : integer              := C_SPLB_NATIVE_DWIDTH;
281
 
282
  constant IPIF_SLV_DWIDTH                : integer              := C_SPLB_NATIVE_DWIDTH;
283
 
284
  ------------------------------------------
285
  -- Width of the slave address bus (32 only)
286
  ------------------------------------------
287
  constant USER_SLV_AWIDTH                : integer              := C_SPLB_AWIDTH;
288
 
289
  ------------------------------------------
290
  -- Index for CS/CE
291
  ------------------------------------------
292
  constant USER_SLV_CS_INDEX              : integer              := 0;
293
  constant USER_SLV_CE_INDEX              : integer              := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
294
  constant USER_MEM0_CS_INDEX             : integer              := 1;
295
  constant USER_CS_INDEX                  : integer              := USER_MEM0_CS_INDEX;
296
 
297
  constant USER_CE_INDEX                  : integer              := USER_SLV_CE_INDEX;
298
 
299
  ------------------------------------------
300
  -- IP Interconnect (IPIC) signal declarations
301
  ------------------------------------------
302
  signal ipif_Bus2IP_Clk                : std_logic;
303
  signal ipif_Bus2IP_Reset              : std_logic;
304
  signal ipif_IP2Bus_Data               : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
305
  signal ipif_IP2Bus_WrAck              : std_logic;
306
  signal ipif_IP2Bus_RdAck              : std_logic;
307
  signal ipif_IP2Bus_Error              : std_logic;
308
  signal ipif_Bus2IP_Addr               : std_logic_vector(0 to C_SPLB_AWIDTH-1);
309
  signal ipif_Bus2IP_Data               : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
310
  signal ipif_Bus2IP_RNW                : std_logic;
311
  signal ipif_Bus2IP_BE                 : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
312
  signal ipif_Bus2IP_CS                 : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
313
  signal ipif_Bus2IP_RdCE               : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
314
  signal ipif_Bus2IP_WrCE               : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
315
  signal user_Bus2IP_RdCE               : std_logic_vector(0 to USER_NUM_REG-1);
316
  signal user_Bus2IP_WrCE               : std_logic_vector(0 to USER_NUM_REG-1);
317
  signal user_IP2Bus_Data               : std_logic_vector(0 to USER_SLV_DWIDTH-1);
318
  signal user_IP2Bus_RdAck              : std_logic;
319
  signal user_IP2Bus_WrAck              : std_logic;
320
  signal user_IP2Bus_Error              : std_logic;
321
 
322
begin
323
 
324
  ------------------------------------------
325
  -- instantiate plbv46_slave_single
326
  ------------------------------------------
327
  PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
328
    generic map
329
    (
330
      C_ARD_ADDR_RANGE_ARRAY         => IPIF_ARD_ADDR_RANGE_ARRAY,
331
      C_ARD_NUM_CE_ARRAY             => IPIF_ARD_NUM_CE_ARRAY,
332
      C_SPLB_P2P                     => C_SPLB_P2P,
333
      C_BUS2CORE_CLK_RATIO           => IPIF_BUS2CORE_CLK_RATIO,
334
      C_SPLB_MID_WIDTH               => C_SPLB_MID_WIDTH,
335
      C_SPLB_NUM_MASTERS             => C_SPLB_NUM_MASTERS,
336
      C_SPLB_AWIDTH                  => C_SPLB_AWIDTH,
337
      C_SPLB_DWIDTH                  => C_SPLB_DWIDTH,
338
      C_SIPIF_DWIDTH                 => IPIF_SLV_DWIDTH,
339
      C_INCLUDE_DPHASE_TIMER         => C_INCLUDE_DPHASE_TIMER,
340
      C_FAMILY                       => C_FAMILY
341
    )
342
    port map
343
    (
344
      SPLB_Clk                       => SPLB_Clk,
345
      SPLB_Rst                       => SPLB_Rst,
346
      PLB_ABus                       => PLB_ABus,
347
      PLB_UABus                      => PLB_UABus,
348
      PLB_PAValid                    => PLB_PAValid,
349
      PLB_SAValid                    => PLB_SAValid,
350
      PLB_rdPrim                     => PLB_rdPrim,
351
      PLB_wrPrim                     => PLB_wrPrim,
352
      PLB_masterID                   => PLB_masterID,
353
      PLB_abort                      => PLB_abort,
354
      PLB_busLock                    => PLB_busLock,
355
      PLB_RNW                        => PLB_RNW,
356
      PLB_BE                         => PLB_BE,
357
      PLB_MSize                      => PLB_MSize,
358
      PLB_size                       => PLB_size,
359
      PLB_type                       => PLB_type,
360
      PLB_lockErr                    => PLB_lockErr,
361
      PLB_wrDBus                     => PLB_wrDBus,
362
      PLB_wrBurst                    => PLB_wrBurst,
363
      PLB_rdBurst                    => PLB_rdBurst,
364
      PLB_wrPendReq                  => PLB_wrPendReq,
365
      PLB_rdPendReq                  => PLB_rdPendReq,
366
      PLB_wrPendPri                  => PLB_wrPendPri,
367
      PLB_rdPendPri                  => PLB_rdPendPri,
368
      PLB_reqPri                     => PLB_reqPri,
369
      PLB_TAttribute                 => PLB_TAttribute,
370
      Sl_addrAck                     => Sl_addrAck,
371
      Sl_SSize                       => Sl_SSize,
372
      Sl_wait                        => Sl_wait,
373
      Sl_rearbitrate                 => Sl_rearbitrate,
374
      Sl_wrDAck                      => Sl_wrDAck,
375
      Sl_wrComp                      => Sl_wrComp,
376
      Sl_wrBTerm                     => Sl_wrBTerm,
377
      Sl_rdDBus                      => Sl_rdDBus,
378
      Sl_rdWdAddr                    => Sl_rdWdAddr,
379
      Sl_rdDAck                      => Sl_rdDAck,
380
      Sl_rdComp                      => Sl_rdComp,
381
      Sl_rdBTerm                     => Sl_rdBTerm,
382
      Sl_MBusy                       => Sl_MBusy,
383
      Sl_MWrErr                      => Sl_MWrErr,
384
      Sl_MRdErr                      => Sl_MRdErr,
385
      Sl_MIRQ                        => Sl_MIRQ,
386
      Bus2IP_Clk                     => ipif_Bus2IP_Clk,
387
      Bus2IP_Reset                   => ipif_Bus2IP_Reset,
388
      IP2Bus_Data                    => ipif_IP2Bus_Data,
389
      IP2Bus_WrAck                   => ipif_IP2Bus_WrAck,
390
      IP2Bus_RdAck                   => ipif_IP2Bus_RdAck,
391
      IP2Bus_Error                   => ipif_IP2Bus_Error,
392
      Bus2IP_Addr                    => ipif_Bus2IP_Addr,
393
      Bus2IP_Data                    => ipif_Bus2IP_Data,
394
      Bus2IP_RNW                     => ipif_Bus2IP_RNW,
395
      Bus2IP_BE                      => ipif_Bus2IP_BE,
396
      Bus2IP_CS                      => ipif_Bus2IP_CS,
397
      Bus2IP_RdCE                    => ipif_Bus2IP_RdCE,
398
      Bus2IP_WrCE                    => ipif_Bus2IP_WrCE
399
    );
400
 
401
  ------------------------------------------
402
  -- instantiate User Logic
403
  ------------------------------------------
404
  USER_LOGIC_I : entity tosnet_v3_20_a.user_logic
405
    generic map
406
    (
407
      -- MAP USER GENERICS BELOW THIS LINE ---------------
408
      C_REG_ENABLE                   => C_REG_ENABLE,
409
          C_NODE_ID                      => C_NODE_ID,
410
      C_MAX_SKIPPED_READS            => C_MAX_SKIPPED_READS,
411
          C_MAX_SKIPPED_WRITES           => C_MAX_SKIPPED_WRITES,
412
          C_WATCHDOG_THRESHOLD           => C_WATCHDOG_THRESHOLD,
413
          C_DISABLE_MASTER               => C_DISABLE_MASTER,
414
          C_DISABLE_SLAVE                => C_DISABLE_SLAVE,
415
          C_DISABLE_ASYNC                => C_DISABLE_ASYNC,
416
      -- MAP USER GENERICS ABOVE THIS LINE ---------------
417
 
418
      C_SLV_AWIDTH                   => USER_SLV_AWIDTH,
419
      C_SLV_DWIDTH                   => USER_SLV_DWIDTH,
420
      C_NUM_REG                      => USER_NUM_REG,
421
      C_NUM_MEM                      => USER_NUM_MEM
422
    )
423
    port map
424
    (
425
      -- MAP USER PORTS BELOW THIS LINE ------------------
426
      sig_in                         => sig_in,
427
          sig_out                        => sig_out,
428
          clk_50M                        => clk_50M,
429
      sync_strobe                    => sync_strobe,
430
          system_halt                    => system_halt,
431
      -- MAP USER PORTS ABOVE THIS LINE ------------------
432
 
433
      Bus2IP_Clk                     => ipif_Bus2IP_Clk,
434
      Bus2IP_Reset                   => ipif_Bus2IP_Reset,
435
      Bus2IP_Addr                    => ipif_Bus2IP_Addr,
436
      Bus2IP_CS                      => ipif_Bus2IP_CS(USER_CS_INDEX to USER_CS_INDEX+USER_NUM_MEM-1),
437
      Bus2IP_RNW                     => ipif_Bus2IP_RNW,
438
      Bus2IP_Data                    => ipif_Bus2IP_Data,
439
      Bus2IP_BE                      => ipif_Bus2IP_BE,
440
      Bus2IP_RdCE                    => user_Bus2IP_RdCE,
441
      Bus2IP_WrCE                    => user_Bus2IP_WrCE,
442
      IP2Bus_Data                    => user_IP2Bus_Data,
443
      IP2Bus_RdAck                   => user_IP2Bus_RdAck,
444
      IP2Bus_WrAck                   => user_IP2Bus_WrAck,
445
      IP2Bus_Error                   => user_IP2Bus_Error
446
    );
447
 
448
  ------------------------------------------
449
  -- connect internal signals
450
  ------------------------------------------
451
  IP2BUS_DATA_MUX_PROC : process( ipif_Bus2IP_CS, user_IP2Bus_Data ) is
452
  begin
453
 
454
    case ipif_Bus2IP_CS is
455
      when "10" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
456
      when "01" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
457
      when others => ipif_IP2Bus_Data <= (others => '0');
458
    end case;
459
 
460
  end process IP2BUS_DATA_MUX_PROC;
461
 
462
  ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
463
  ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
464
  ipif_IP2Bus_Error <= user_IP2Bus_Error;
465
 
466
  user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
467
  user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
468
 
469
end IMP;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.