OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [testcase/] [gmac_test1.v] - Blame information for rev 74

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 dinesha
task gmac_test1;
2 50 dinesha
reg [31:0] read_data;
3
reg [3:0]  desc_ptr;
4
reg [9:0]  desc_rx_qbase;
5
reg [9:0]  desc_tx_qbase;
6
reg [7:0]  iFrmCnt;
7 15 dinesha
 
8 74 dinesha
begin
9 50 dinesha
  //--------------------------
10
  // Data Memory MAP
11
  //-------------------------
12
  // 0x0000 to 0x0FFF - 4K - Processor Data Memory
13
  // 0x1000 to 0x1FFF - 4K - Gmac Rx Data Memory
14
  // 0x2000 to 0x2FFF - 4K - Reserved for Rx
15
  // 0x3000 to 0x3FFF - 4K - Gmac Tx Data Memory
16
  // 0x4000 to 0x4FFF - 4K - Reserved for Tx
17
  // 0x7000 to 0x703F - 64 - Rx Descriptor
18
  // 0x7040 to 0x707F - 64 - Tx Descripto
19 15 dinesha
 
20
   events_log = $fopen("../test_log_files/test1_events.log");
21
   tb_top.u_tb_eth.event_file = events_log;
22
 
23 50 dinesha
   desc_ptr = 0;
24
   desc_rx_qbase = 10'h1C0;
25
   desc_tx_qbase = 10'h1C1;
26
   iFrmCnt  = 0;
27 15 dinesha
   tb_top.u_tb_eth.init_port(3'b1, 3'b1, 1'b1, 0);
28
 
29 50 dinesha
   tb_top.cpu_write('h1,8'h0,{4'h1,4'h1,8'h45,8'h01});  // tx/rx-control
30 15 dinesha
   tb_top.cpu_write('h1,8'h8,{16'h0,8'd22,8'd22}); // Tx/Rx IFG
31 50 dinesha
   tb_top.cpu_write('h1,8'h24,{desc_tx_qbase,desc_ptr,2'b00,
32
                               desc_rx_qbase,desc_ptr,2'b00}); // Tx/Rx Descriptor
33 15 dinesha
 
34
   tb_top.u_tb_eth.set_flow_type(0);//L2 unicast 
35
   tb_top.u_tb_eth.set_L2_frame_size(1, 64, 84, 1); //, 1, 17, 33, 49, 64
36
   tb_top.u_tb_eth.set_payload_type(2, 5000,0); //make sure frame size is honored
37 41 dinesha
   tb_top.u_tb_eth.set_L2_protocol(0); // Untagged frame
38 15 dinesha
   tb_top.u_tb_eth.set_L2_source_address(0, 48'h12_34_56_78_9a_bc, 0,0);
39
   tb_top.u_tb_eth.set_L2_destination_address(0, 48'h16_22_33_44_55_66, 0,0);
40 41 dinesha
   tb_top.u_tb_eth.set_L3_protocol(4); // IPV4
41 15 dinesha
   tb_top.u_tb_eth.set_crc_option(0,0);
42
 
43
   fork
44
     tb_top.u_tb_eth.transmit_packet_sequence(10, 96, 1, 500000);
45
     begin
46
         tb_top.u_tb_eth.wait_for_event(3, 0);
47
         tb_top.u_tb_eth.wait_for_event(3, 0);
48
     end
49 50 dinesha
     begin
50
        while(iFrmCnt != 10) begin
51
          tb_top.cpu_read('h1,8'h30,read_data); // Tx/Rx Counter
52
          if(read_data[3:0] != 0) begin // Check the Rx Q Counter
53
              // Read the Receive Descriptor
54 56 dinesha
              tb_top.cpu_read('h4,{desc_rx_qbase,desc_ptr,2'b0},read_data);
55 50 dinesha
              // Write the Tx Descriptor
56 56 dinesha
              tb_top.cpu_write('h4,{desc_tx_qbase,desc_ptr,2'b0},read_data);
57 50 dinesha
              desc_ptr = desc_ptr+1;
58
              iFrmCnt  = iFrmCnt+1;
59
          end
60
          #1000;
61
       end
62
     end
63 15 dinesha
   join
64
 
65
  #100000;
66
 
67
  `TB_AGENTS_GMAC.full_mii.status; // test status
68
 
69
  // Check the Transmitted & Received Frame cnt
70
  if(`TB_AGENTS_GMAC.full_mii.transmitted_packet_count != `TB_AGENTS_GMAC.full_mii.receive_packet_count)
71
       `TB_GLBL.test_err;
72
 
73
  // Check the Transmitted & Received Byte cnt
74
  if(`TB_AGENTS_GMAC.full_mii.transmitted_packet_byte_count != `TB_AGENTS_GMAC.full_mii.receive_packet_byte_count)
75
       `TB_GLBL.test_err;
76
 
77
  if(`TB_AGENTS_GMAC.full_mii.receive_crc_err_count)
78
       `TB_GLBL.test_err;
79
 
80 74 dinesha
end
81 15 dinesha
endtask
82
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.