OpenCores
URL https://opencores.org/ocsvn/tv80/tv80/trunk

Subversion Repositories tv80

[/] [tv80/] [trunk/] [rtl/] [app_localcfg/] [lcfg_cfgo_driver.v] - Blame information for rev 105

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 101 ghutchis
/* Copyright (c) 2011, Guy Hutchison
2
   All rights reserved.
3
 
4
Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met:
5
 
6
    * Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer.
7
    * Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution.
8
    * Neither the name of the author nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission.
9
 
10
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
11
*/
12
 
13
module lcfg_cfgo_driver
14
  (/*AUTOARG*/
15
  // Outputs
16
  cd_rdata, cfgo_wait_n, cfgo_irdy, cfgo_addr, cfgo_write,
17
  cfgo_wr_data,
18
  // Inputs
19
  clk, reset_n, addr, cd_wdata, mreq_n, rd_n, wr_n, iorq_n, cfgo_trdy,
20
  cfgo_rd_data
21
  );
22
 
23
  parameter io_base_addr = 0;
24
  input          clk;
25
  input          reset_n;
26
 
27
  // TV80 processor interface
28
  input [15:0]   addr;
29
  output [7:0]   cd_rdata;
30
  input [7:0]    cd_wdata;
31
 
32
  input          mreq_n;
33
  input          rd_n, wr_n;
34
  input          iorq_n;
35
  output         cfgo_wait_n;
36
 
37
  // outgoing config interface to system
38
  // configuration bus
39
  output         cfgo_irdy;
40
  input          cfgo_trdy;
41
  output [15:0]  cfgo_addr;
42
  output         cfgo_write;
43
  output [31:0]  cfgo_wr_data;
44
  input [31:0]   cfgo_rd_data;
45
 
46
  wire           rf_irdy;
47
  wire           rf_write;
48
  /*AUTOWIRE*/
49
  // Beginning of automatic wires (for undeclared instantiated-module outputs)
50
  wire [7:0]            cfg_addr0;              // From cfgo_regs of lcfg_cfgo_regs.v
51
  wire [7:0]            cfg_addr1;              // From cfgo_regs of lcfg_cfgo_regs.v
52
  wire [7:0]            cfg_data0_wr_data;      // From cfgo_regs of lcfg_cfgo_regs.v
53
  wire [7:0]            cfg_data1_wr_data;      // From cfgo_regs of lcfg_cfgo_regs.v
54
  wire [7:0]            cfg_data2_wr_data;      // From cfgo_regs of lcfg_cfgo_regs.v
55
  wire [7:0]            cfg_data3_wr_data;      // From cfgo_regs of lcfg_cfgo_regs.v
56
  wire [3:0]            rd_stb;                 // From cfgo_regs of lcfg_cfgo_regs.v, ...
57
  wire [3:0]            wr_stb;                 // From cfgo_regs of lcfg_cfgo_regs.v, ...
58
  // End of automatics
59
 
60
  parameter s_idle = 0, s_write = 1, s_read = 2, s_ack = 3;
61
 
62
  reg [31:0]     chold, nxt_chold;
63
  reg [3:0]      state, nxt_state;
64
 
65
  assign rf_irdy = !mreq_n & !iorq_n & ((addr[7:0] & 8'hF8) == io_base_addr);
66
  assign rf_write = ~wr_n;
67
  assign cfgo_addr = { cfg_addr1, cfg_addr0 };
68
  assign cfgo_wr_data = chold;
69
  assign cfgo_irdy = state[s_write] | state[s_read];
70
  assign cfgo_write = state[s_write];
71
 
72
  always @*
73
    begin
74
      nxt_chold = chold;
75
      nxt_state = state;
76
 
77 105 ghutchis
      case (1'b1) /* verilator lint_off CASEINCOMPLETE */
78 101 ghutchis
        state[s_idle] :
79
          begin
80
            case (wr_stb)
81
              4'b0001 : nxt_chold[7:0] = cfg_data0_wr_data;
82
              4'b0010 : nxt_chold[15:8] = cfg_data1_wr_data;
83
              4'b0100 : nxt_chold[23:16] = cfg_data2_wr_data;
84
              4'b1000 : nxt_chold[31:24] = cfg_data3_wr_data;
85
            endcase // case (wr_stb)
86
 
87
            if (rd_stb[0])
88
              nxt_state = 1 << s_read;
89
            else if (wr_stb[3])
90
              nxt_state = 1 << s_write;
91
          end // case: state[s_idle]
92
 
93
        state[s_write] :
94
          begin
95
            if (cfgo_trdy)
96
              nxt_state = 1 << s_idle;
97
          end
98
 
99
        state[s_read] :
100
          begin
101
            if (cfgo_trdy)
102
              begin
103
                nxt_state = 1 << s_ack;
104
                nxt_chold = cfgo_rd_data;
105
              end
106
          end
107
 
108
        state[s_ack] :
109
          begin
110
            nxt_state = 1 << s_idle;
111
          end
112 105 ghutchis
      endcase // verilator lint_on CASEINCOMPLETE
113 101 ghutchis
    end // always @ *
114 105 ghutchis
 
115
  always @(posedge clk or negedge reset_n)
116
    begin
117
      if (~reset_n)
118
        begin
119
          state <= 1 << s_idle;
120
          chold <= 0;
121
        end
122
      else
123
        begin
124
          state <= nxt_state;
125
          chold <= nxt_chold;
126
        end
127
    end
128 101 ghutchis
 
129
/* lcfg_cfgo_regs AUTO_TEMPLATE
130
 (
131
     .rf_trdy                           (cfgo_wait_n),
132
     .rf_rd_data                        (cd_rdata[]),
133
     .rf_addr                           (addr[]),
134
     .rf_wr_data                        (cd_wdata[]),
135
     .cfg_data\([0-3]\)_rd_data         (chold[@"(+ (* 8 @) 7)":@"(* 8 @)"]),
136
     .cfg_data0_rd_data (chold[7:0]),
137
     .cfg_data1_rd_data (chold[15:8]),
138
     .cfg_data2_rd_data (chold[23:16]),
139
     .cfg_data3_rd_data (chold[31:24]),
140
     .cfg_data0_rd_ack                  (state[s_ack]),
141 105 ghutchis
     .cfg_status                        ({4'h0, state}),
142 101 ghutchis
     .cfg_data[1-3]_rd_ack              (1'b1),
143
     .cfg_data[0-3]_wr_ack              (state[s_idle]),
144
     .cfg_data\([0-3]\)_wr_stb          (wr_stb[\1]),
145
     .cfg_data\([0-3]\)_rd_stb          (rd_stb[\1]),
146 103 ghutchis
     .cfg_status ({4'h0,state}),
147 101 ghutchis
 );
148
 */
149
  lcfg_cfgo_regs cfgo_regs
150
    (/*AUTOINST*/
151
     // Outputs
152
     .rf_trdy                           (cfgo_wait_n),           // Templated
153
     .rf_rd_data                        (cd_rdata[7:0]),         // Templated
154
     .cfg_addr0                         (cfg_addr0[7:0]),
155
     .cfg_addr1                         (cfg_addr1[7:0]),
156
     .cfg_data0_wr_stb                  (wr_stb[0]),             // Templated
157
     .cfg_data0_rd_stb                  (rd_stb[0]),             // Templated
158
     .cfg_data0_wr_data                 (cfg_data0_wr_data[7:0]),
159
     .cfg_data1_wr_stb                  (wr_stb[1]),             // Templated
160
     .cfg_data1_rd_stb                  (rd_stb[1]),             // Templated
161
     .cfg_data1_wr_data                 (cfg_data1_wr_data[7:0]),
162
     .cfg_data2_wr_stb                  (wr_stb[2]),             // Templated
163
     .cfg_data2_rd_stb                  (rd_stb[2]),             // Templated
164
     .cfg_data2_wr_data                 (cfg_data2_wr_data[7:0]),
165
     .cfg_data3_wr_stb                  (wr_stb[3]),             // Templated
166
     .cfg_data3_rd_stb                  (rd_stb[3]),             // Templated
167
     .cfg_data3_wr_data                 (cfg_data3_wr_data[7:0]),
168
     // Inputs
169
     .clk                               (clk),
170
     .reset_n                           (reset_n),
171
     .rf_irdy                           (rf_irdy),
172
     .rf_write                          (rf_write),
173
     .rf_addr                           (addr[3:0]),             // Templated
174
     .rf_wr_data                        (cd_wdata[7:0]),         // Templated
175
     .cfg_data0_rd_data                 (chold[7:0]),            // Templated
176
     .cfg_data0_rd_ack                  (state[s_ack]),          // Templated
177
     .cfg_data0_wr_ack                  (state[s_idle]),         // Templated
178
     .cfg_data1_rd_data                 (chold[15:8]),           // Templated
179
     .cfg_data1_rd_ack                  (1'b1),                  // Templated
180
     .cfg_data1_wr_ack                  (state[s_idle]),         // Templated
181
     .cfg_data2_rd_data                 (chold[23:16]),          // Templated
182
     .cfg_data2_rd_ack                  (1'b1),                  // Templated
183
     .cfg_data2_wr_ack                  (state[s_idle]),         // Templated
184
     .cfg_data3_rd_data                 (chold[31:24]),          // Templated
185
     .cfg_data3_rd_ack                  (1'b1),                  // Templated
186
     .cfg_data3_wr_ack                  (state[s_idle]),         // Templated
187 105 ghutchis
     .cfg_status                        ({4'h0, state}));         // Templated
188
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.