OpenCores
URL https://opencores.org/ocsvn/tv80/tv80/trunk

Subversion Repositories tv80

[/] [tv80/] [trunk/] [scripts/] [sc_gen] - Blame information for rev 111

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 91 ghutchis
#!/bin/bash
2
 
3 111 ghutchis
rm -rf obj_dir
4
 
5 95 ghutchis
verilator --sc --trace -O3 rtl/core/tv80s.v rtl/core/tv80_alu.v \
6 91 ghutchis
rtl/core/tv80_mcode.v rtl/core/tv80_reg.v rtl/core/tv80_core.v
7
 
8 97 ghutchis
verilator --sc rtl/uart/T16450.v
9 91 ghutchis
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.