OpenCores
URL https://opencores.org/ocsvn/uart2bus/uart2bus/trunk

Subversion Repositories uart2bus

[/] [uart2bus/] [trunk/] [verilog/] [rtl/] [uart_top.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 motilito
//---------------------------------------------------------------------------------------
2
// uart top level module  
3
//
4
//---------------------------------------------------------------------------------------
5
 
6
module uart_top
7
(
8
        // global signals 
9
        clock, reset,
10
        // uart serial signals 
11
        ser_in, ser_out,
12
        // transmit and receive internal interface signals 
13
        rx_data, new_rx_data,
14
        tx_data, new_tx_data, tx_busy,
15
        // baud rate configuration register - see baud_gen.v for details 
16
        baud_freq, baud_limit,
17
        baud_clk
18
);
19
//---------------------------------------------------------------------------------------
20
// modules inputs and outputs 
21
input                   clock;                  // global clock input 
22
input                   reset;                  // global reset input 
23
input                   ser_in;                 // serial data input 
24
output                  ser_out;                // serial data output 
25
input   [7:0]    tx_data;                // data byte to transmit 
26
input                   new_tx_data;    // asserted to indicate that there is a new data byte for transmission 
27
output                  tx_busy;                // signs that transmitter is busy 
28
output  [7:0]    rx_data;                // data byte received 
29
output                  new_rx_data;    // signs that a new byte was received 
30
input   [11:0]   baud_freq;      // baud rate setting registers - see header description 
31
input   [15:0]   baud_limit;
32
output                  baud_clk;
33
 
34
// internal wires 
35
wire ce_16;             // clock enable at bit rate 
36
 
37
assign baud_clk = ce_16;
38
//---------------------------------------------------------------------------------------
39
// module implementation 
40
// baud rate generator module 
41
baud_gen baud_gen_1
42
(
43
        .clock(clock), .reset(reset),
44
        .ce_16(ce_16), .baud_freq(baud_freq), .baud_limit(baud_limit)
45
);
46
 
47
// uart receiver 
48
uart_rx uart_rx_1
49
(
50
        .clock(clock), .reset(reset),
51
        .ce_16(ce_16), .ser_in(ser_in),
52
        .rx_data(rx_data), .new_rx_data(new_rx_data)
53
);
54
 
55
// uart transmitter 
56
uart_tx  uart_tx_1
57
(
58
        .clock(clock), .reset(reset),
59
        .ce_16(ce_16), .tx_data(tx_data), .new_tx_data(new_tx_data),
60
        .ser_out(ser_out), .tx_busy(tx_busy)
61
);
62
 
63
endmodule
64
//---------------------------------------------------------------------------------------
65
//                                              Th.. Th.. Th.. Thats all folks !!!
66
//---------------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.