OpenCores
URL https://opencores.org/ocsvn/uart2bus/uart2bus/trunk

Subversion Repositories uart2bus

[/] [uart2bus/] [trunk/] [vhdl/] [bench/] [helpers/] [helpers_pkg.vhd] - Blame information for rev 11

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 smuller
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
package helpers_pkg is
5
 
6
  component regFileModel
7
    port
8
    (
9
      clr        : in  std_logic;
10
      clk        : in  std_logic;
11
      intAddress : in  std_logic_vector(7 downto 0);
12
      intWrData  : in  std_logic_vector(7 downto 0);
13
      intWrite   : in  std_logic;
14
      intRead    : in  std_logic;
15
      intRdData  : out std_logic_vector(7 downto 0));
16
  end component;
17
 
18
end helpers_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.