OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] [trunk/] [tb/] [agent/] [agent_pkg.sv] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 HanySalah
//-------------------------------------------------------------------------------------------------
2 2 HanySalah
//
3
//                             UART2BUS VERIFICATION
4
//
5 3 HanySalah
//-------------------------------------------------------------------------------------------------
6 2 HanySalah
// CREATOR    : HANY SALAH
7
// PROJECT    : UART2BUS UVM TEST BENCH
8
// UNIT       : AGENT
9 3 HanySalah
//-------------------------------------------------------------------------------------------------
10
// TITLE      : UART AGENT PACKAGE
11
// DESCRIPTION: THIS PACKAGE INCLUDES ALL AGENT BLOCKS AND ALSO DEFINITIONS LI-
12
//              BRARY.
13
//-------------------------------------------------------------------------------------------------
14 2 HanySalah
// LOG DETAILS
15
//-------------
16
// VERSION      NAME        DATE        DESCRIPTION
17
//    1       HANY SALAH    10012016    FILE CREATION
18 3 HanySalah
//    2       HANY SALAH    11022016    IMPROVE BLOCK DESCRIPTION
19
//-------------------------------------------------------------------------------------------------
20
// ALL COPYRIGHTS ARE RESERVED FOR THE PRODUCER ONLY .THIS FILE IS PRODUCED FOR OPENCORES MEMBERS
21
// ONLY AND IT IS PROHIBTED TO USE THIS MATERIAL WITHOUT THE CREATOR'S PERMISSION
22
//-------------------------------------------------------------------------------------------------
23 2 HanySalah
 
24
package agent_pkg;
25
 
26
  `include "defin_lib.svh"
27
 
28
  import uvm_pkg::*;
29
  `include "uvm_macros.svh"
30
 
31
  `include "uart_transaction.svh"
32
  `include "uart_sequence.svh"
33
  `include "uart_config.svh"
34
  `include "uart_driver.svh"
35
  `include "uart_monitor.svh"
36 3 HanySalah
  `include "uart_coverage.svh"
37 2 HanySalah
 
38
  `include "uart_agent.svh"
39
 
40
endpackage:agent_pkg

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.