OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] [trunk/] [tb/] [analysis/] [uart_scoreboard.svh] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 HanySalah
//-------------------------------------------------------------------------------------------------
2 2 HanySalah
//
3 3 HanySalah
//                                                           UART2BUS VERIFICATION
4 2 HanySalah
//
5 3 HanySalah
//-------------------------------------------------------------------------------------------------
6 2 HanySalah
// CREATOR    : HANY SALAH
7
// PROJECT    : UART2BUS UVM TEST BENCH
8
// UNIT       : ANALYSIS
9 3 HanySalah
//-------------------------------------------------------------------------------------------------
10
// TITLE      : UART SCOREBOARD
11
// DESCRIPTION: SCOREBOARD IS RESPONSIBLE FOR DOING COMPARISONS BETWEEN THE TRANSACTION CREATED IN
12
//                                                      THE SEQUENCE AND THE TRANSACTION CAPTURED BY THE MONITOR.
13
//-------------------------------------------------------------------------------------------------
14 2 HanySalah
// LOG DETAILS
15
//-------------
16
// VERSION      NAME        DATE        DESCRIPTION
17
//    1       HANY SALAH    22012016    FILE CREATION
18 3 HanySalah
//              2                       HANY SALAH              28012016                ADD BINARY COMMAND CHECKING
19
//              3                               HANY SALAH              18022016                IMPROVE BLOCK DESCRIPTION & ADD COMMENTS
20
//-------------------------------------------------------------------------------------------------
21
// ALL COPYRIGHTS ARE RESERVED FOR THE PRODUCER ONLY .THIS FILE IS PRODUCED FOR OPENCORES MEMBERS
22
// ONLY AND IT IS PROHIBTED TO USE THIS MATERIAL WITHOUT THE CREATOR'S PERMISSION
23
//-------------------------------------------------------------------------------------------------
24
 
25 2 HanySalah
class uart_scoreboard extends uvm_scoreboard;
26
 
27 3 HanySalah
        // TLM fifo which buffers the trasaction captured by the monitor.
28 2 HanySalah
        uvm_tlm_analysis_fifo #(uart_transaction)       mon_fifo;
29
 
30 3 HanySalah
        // TLM port connected to the monitor.
31 2 HanySalah
        uvm_analysis_export #(uart_transaction) scbd_mon;
32
 
33 3 HanySalah
        // TLM fifo which buffers the trasaction drived from the driver.
34 2 HanySalah
        uvm_tlm_analysis_fifo #(uart_transaction) drv_fifo;
35
 
36 3 HanySalah
        // TLM port connected to the driver.
37 2 HanySalah
        uvm_analysis_export #(uart_transaction) scbd_drv;
38
 
39
        uart_transaction                        frm_drv,frm_drv_tmp;
40
 
41
        uart_transaction                        frm_mon,frm_mon_tmp;
42
 
43 9 HanySalah
        int                                     match=0;
44
 
45
        `uvm_component_utils_begin(uart_scoreboard)
46
           `uvm_field_int(match,UVM_ALL_ON)
47
        `uvm_component_utils_end
48 2 HanySalah
 
49
        function new (string name , uvm_component parent);
50
                super.new(name,parent);
51
        endfunction:new
52
 
53
        extern function void build_phase (uvm_phase phase);
54
 
55
        extern function void connect_phase (uvm_phase phase);
56
 
57
        extern task run_phase (uvm_phase phase);
58 3 HanySalah
 
59
        extern function void ack_checker ();
60 2 HanySalah
endclass:uart_scoreboard
61
 
62
 
63
function void uart_scoreboard::build_phase (uvm_phase phase);
64
        super.build_phase(phase);
65
 
66
        frm_drv                 = uart_transaction::type_id::create("frm_drv");
67
        frm_drv_tmp     = uart_transaction::type_id::create("frm_drv_tmp");
68
 
69
        frm_mon                 = uart_transaction::type_id::create("frm_mon");
70
        frm_mon_tmp = uart_transaction::type_id::create("frm_mon_tmp");
71
 
72
        mon_fifo = new ("mon_fifo",this);
73
        scbd_mon = new ("scbd_mon",this);
74
 
75
        drv_fifo = new ("drv_fifo",this);
76
        scbd_drv = new ("scbd_drv",this);
77
 
78
endfunction:build_phase
79
 
80
function void uart_scoreboard::connect_phase (uvm_phase phase);
81
        scbd_mon.connect(mon_fifo.analysis_export);
82
        scbd_drv.connect(drv_fifo.analysis_export);
83
endfunction:connect_phase
84
 
85 3 HanySalah
// Run Phase
86 2 HanySalah
task uart_scoreboard::run_phase (uvm_phase phase);
87 3 HanySalah
 
88 2 HanySalah
        forever
89
                begin
90
                drv_fifo.get(frm_drv_tmp);
91
                $cast(frm_drv,frm_drv_tmp.clone());
92
                mon_fifo.get(frm_mon_tmp);
93
                $cast(frm_mon,frm_mon_tmp.clone());
94
                if (frm_drv._mode != frm_mon._mode)
95
                        begin
96 3 HanySalah
                        `uvm_fatal("Testbench Bug",$sformatf("Modes aren't similiar .. It was requested to use %p mode and the applied mode is %p \n ",frm_drv._mode,frm_mon._mode))
97 2 HanySalah
                        end
98 3 HanySalah
 
99
                else if (frm_drv._mode inside {wrong_mode_text,wrong_mode_bin})
100
                        begin
101
                        if (frm_drv._data == frm_mon._data)
102
                                begin
103
                                `uvm_error("Failed UART Undefined Command","DUT responds to undefined Prefix \n")
104
                                end
105
                        else
106
                                begin
107 9 HanySalah
                                `uvm_info("Passed UART Undefined Command","DUT doesn't respond to undefined Prefix \n",UVM_HIGH)
108
                                   match++;
109 3 HanySalah
                                end
110
                        end
111
 
112
                else if (frm_drv._command inside {invalid_read,invalid_write})
113
                        begin
114
                        if (frm_drv._data == frm_mon._data)
115
                                begin
116
                                `uvm_error("Failed UART Invalid Command","DUT responds to invalid binary command \n")
117
                                end
118
                        else
119
                                begin
120 9 HanySalah
                                `uvm_info("Passed UART Invalid Command","DUT doesn't respond to invalid binary command \n",UVM_HIGH)
121
                                   match++;
122 3 HanySalah
                                end
123
                        end
124
 
125 2 HanySalah
                else
126
                        begin
127
                        case (frm_drv._mode)
128
                                text:
129
                                        begin
130
                                        if(frm_drv._command != frm_mon._command)
131
                                                begin
132 3 HanySalah
                                                `uvm_fatal("Testbench Bug",$sformatf("Commands aren't identical .. It was requested to drive %p command and the applied command is %p \n",frm_drv._command,frm_mon._command))
133 2 HanySalah
                                                end
134
                                        else
135
                                                begin
136
                                                case(frm_drv._command)
137
                                                        read:
138
                                                                begin
139 3 HanySalah
                                                                if (frm_drv._spacetype1 == wrong_space || frm_drv._eoltype == wrong_eol)
140 2 HanySalah
                                                                        begin
141 3 HanySalah
                                                                        if (frm_drv._data == frm_mon._data)
142
                                                                                begin
143
                                                                                `uvm_error("Failed Wrong Read Command","DUT responds to stimulus with wrong white space or eol charachters \n")
144
                                                                                end
145
                                                                        else
146
                                                                                begin
147 9 HanySalah
                                                                                `uvm_info("Passed Wrong Read Command",$sformatf("Dut was requested to read the data of the address %h with wrong white spaces or eol character \n",frm_mon.address),UVM_HIGH)
148
                                                                                   match++;
149 3 HanySalah
                                                                                end
150 2 HanySalah
                                                                        end
151 3 HanySalah
                                                                else if (frm_drv._data != frm_mon._data)
152
                                                                        begin
153
                                                                        `uvm_error("Failed Read Text Mode",$sformatf("Data fields aren't identical ,, It was requested to drive %b and dut reply with the data %b \n",frm_drv._data,frm_mon._data))
154
                                                                        end
155 2 HanySalah
                                                                else if((frm_drv._data                          == frm_mon._data)       &&
156
                                                                                                (frm_drv.address                        == frm_mon.address) &&
157
                                                                                                (frm_drv._spacetype1    == frm_mon._spacetype1) &&
158
                                                                                                (frm_drv._eoltype                       == frm_mon._eoltype) &&
159
                                                                                                (frm_drv._chartype              == frm_mon._chartype))
160
                                                                        begin
161 3 HanySalah
                                                                        `uvm_info("Passed Read Text Mode",$sformatf("Data fields are identical ,, It was requested to read from the address %h and dut reply with the data %p using white space = %p and %p prefix character and %p as end of line character \n",frm_drv.address,frm_mon._data,frm_drv._spacetype1,frm_drv._chartype,
162 9 HanySalah
                                                                                frm_drv._eoltype),UVM_HIGH)
163
                                                                           match++;
164 2 HanySalah
                                                                        end
165
                                                                else
166
                                                                        begin
167 3 HanySalah
                                                                        `uvm_error("Failed Read Text Mode",$sformatf("It is Requested to request to read data = %p address of %h with character prefix : %p using white space = %p and end of line character %p .. and found data = %p and address=%h with character prefix : %p using white space = %p and end of line character %p \n",frm_drv._data,frm_drv.address,frm_drv._chartype,frm_drv._spacetype1,frm_drv._eoltype,
168 2 HanySalah
                                                                         frm_mon._data,frm_mon.address,frm_mon._chartype,frm_mon._spacetype1,frm_mon._eoltype))
169
                                                                        end
170
                                                                end
171
                                                        write:
172
                                                                begin
173 3 HanySalah
                                                                if (frm_drv._spacetype1 == wrong_space || frm_drv._spacetype2 == wrong_space || frm_drv._eoltype == wrong_eol)
174 2 HanySalah
                                                                        begin
175 3 HanySalah
                                                                        if (frm_drv._data == frm_mon._data)
176
                                                                                begin
177
                                                                                `uvm_error("Failed Wrong Write Command","DUT responds to stimulus with wrong white space or eol charachters \n")
178
                                                                                end
179
                                                                        else
180
                                                                                begin
181 9 HanySalah
                                                                                `uvm_info("Passed Wrong Write Command",$sformatf("Dut was requested to read the data of the address %h with wrong white spaces or eol character \n",frm_mon.address),UVM_HIGH)
182
                                                                                   match++;
183 3 HanySalah
                                                                                end
184 2 HanySalah
                                                                        end
185 3 HanySalah
                                                                else if (frm_drv._data != frm_mon._data)
186
                                                                        begin
187
                                                                        `uvm_error("Failed Write Text Mode",$sformatf("Data fields aren't identical ,, It was requested to drive %p and dut register the data %p \n",frm_drv._data,frm_mon._data))
188
                                                                        end
189 2 HanySalah
                                                                else if((frm_drv._data                          == frm_mon._data)       &&
190
                                                                                                (frm_drv.address                        == frm_mon.address) &&
191
                                                                                                (frm_drv._spacetype1    == frm_mon._spacetype1) &&
192 3 HanySalah
                                                                                                (frm_drv._spacetype2    == frm_mon._spacetype2) &&
193 2 HanySalah
                                                                                                (frm_drv._eoltype                       == frm_mon._eoltype) &&
194
                                                                                                (frm_drv._chartype              == frm_mon._chartype))
195
                                                                        begin
196 3 HanySalah
                                                                        `uvm_info("Passed write Text Mode",$sformatf("Data fields are identical ,, It was requested to write to the address %h and dut register the data %p using white space = %p and %p prefix character and %p as end of line character \n",frm_drv.address,frm_mon._data,frm_drv._spacetype1,frm_drv._chartype,
197 9 HanySalah
                                                                                frm_drv._eoltype),UVM_HIGH)
198
                                                                           match++;
199 2 HanySalah
                                                                        end
200
                                                                else
201
                                                                        begin
202 3 HanySalah
                                                                        `uvm_error("Failed write Text Mode",$sformatf("It is Requested to request to write data = %p address of %h with character prefix : %p using white space = %p and end of line character %p .. and found data = %p and address=%h with character prefix : %p using white space = %p and end of line character %p \n",frm_drv._data,frm_drv.address,frm_drv._chartype,frm_drv._spacetype1,frm_drv._eoltype,
203 2 HanySalah
                                                                         frm_mon._data,frm_mon.address,frm_mon._chartype,frm_mon._spacetype1,frm_mon._eoltype))
204
                                                                        end
205
                                                                end
206
                                                        default:
207
                                                                begin
208 3 HanySalah
                                                                `uvm_fatal("Testbench Bug",$sformatf("It isn't allowablt to drive %p command through text mode \n",frm_drv._command))
209 2 HanySalah
                                                                end
210
                                                endcase
211
                                                end
212
                                        end
213
                                binary:
214
                                        begin
215 3 HanySalah
                                        if (frm_drv._command != frm_mon._command)
216
                                                begin
217
                                                `uvm_fatal("Testbench Bug",$sformatf("Commands aren't identical .. It was requested to drive %p command and the applied command is %p \n",frm_drv._command,frm_mon._command))
218
                                                end
219
                                        else if (frm_drv._command inside {read,write})
220
                                                begin
221
                                                if (frm_drv._reqack             == frm_mon._reqack &&
222
                                                                frm_drv._reqinc                 == frm_mon._reqinc &&
223
                                                                frm_drv.address                 == frm_mon.address &&
224
                                                                frm_drv.length_data == frm_mon.length_data &&
225
                                                                frm_drv._data                   == frm_mon._data)
226
                                                        begin
227 9 HanySalah
                                                        `uvm_info($sformatf("Passed Binary %p Command",frm_drv._command),$sformatf("Dut is requested to %p command to start address=%h with data = %p and data length = %0d \n",frm_drv._command,frm_drv.address,frm_drv._data,frm_drv.length_data),UVM_HIGH)
228
                                                           match++;
229 3 HanySalah
                                                        ack_checker();
230
                                                        end
231
                                                else
232
                                                        begin
233
                                                        `uvm_error("Failed Binary Command",$sformatf("Dut is requested to %p command to start address=%h with data = %p, data length = %0d and dut reply with start address = %h and data = %p, length_data=%0d \n",
234
                                                                frm_drv._command,frm_drv.address,frm_drv._data,frm_drv.length_data,
235
                                                                                                                                 frm_mon.address,frm_mon._data,frm_mon.length_data))
236
                                                        end
237
                                                end
238
                                        else if (frm_drv._command == nop)
239
                                                begin
240 9 HanySalah
                                                `uvm_info("NOP Command",$sformatf("Dut is requested to %p command \n",frm_drv._command),UVM_HIGH)
241
                                                   match++;
242 3 HanySalah
                                                ack_checker();
243
                                                end
244 2 HanySalah
                                        end
245
                                default:
246
                                        begin
247 3 HanySalah
                                        `uvm_fatal("Testbench Bug",$sformatf("Mode is undefined = %p \n",frm_drv._mode))
248 2 HanySalah
                                        end
249
                        endcase
250 9 HanySalah
                        end // else: !if(frm_drv._command inside {invalid_read,invalid_write})
251
                   uvm_resource_db#(int)::write_by_name("Reporting","matched_packets",match);
252 2 HanySalah
                end
253 3 HanySalah
endtask:run_phase
254
 
255
function void uart_scoreboard::ack_checker();
256
 
257
        if(frm_drv._reqack == yes && frm_mon.acknowledge != 8'h5A)
258
                begin
259
                `uvm_error("Undefined Acknowledge",$sformatf("DUT reply with %h  as acknowledge character \n",frm_mon.acknowledge))
260
                end
261
        else if (frm_drv._reqack == no && frm_mon.acknowledge != 8'h00)
262
                begin
263
                `uvm_error("Wrong Response","Command doesn't request Acknowledge and DUT forward acknowledge character \n")
264
                end
265
        else
266
                begin
267 9 HanySalah
                `uvm_info("Accepted Acknowledge","Acknowledge is the defined as standard \n",UVM_HIGH)
268 3 HanySalah
                end
269
 
270 9 HanySalah
endfunction:ack_checker

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.