OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] [trunk/] [tb/] [run_script.sh] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 HanySalah
rm -rf work
2
vlib work
3
#------------------------------
4
# BFMs Compiling
5
#------------------------------
6
vlog -novopt interfaces/uart_interface.sv +incdir+../
7
vlog -novopt interfaces/rf_interface.sv +incdir+../
8
vlog -novopt interfaces/uart_arbiter.sv +incdir+../
9
#-----------------------------
10
# Agent Compiling
11
#------------------------------
12
vlog -novopt agent/agent_pkg.sv +incdir+agent +incdir+agent/driver  +incdir+./ +incdir+agent/configuration +incdir+agent/sequence +incdir+agent/transaction +incdir+agent/monitor +incdir+agent/coverage
13
#-----------------------------
14
# Environment & Scoreboard Compiling
15
#------------------------------
16
vlog -novopt env/env_pkg.sv +incdir+env +incdir+analysis
17
#-----------------------------
18
# UART TEST Compiling
19
#------------------------------
20
vlog -novopt uart_pkg.sv +incdir+test/ +incdir+agent/ +incdir+env/ +incdir+./ +incdir+../
21
#-----------------------------
22
# UART DUT Compiling
23
#------------------------------
24
vlog ../rtl/uart_tx.v +incdir+../rtl
25
vlog ../rtl/uart_rx.v +incdir+../rtl
26
vlog ../rtl/baud_gen.v +incdir+../rtl
27
vlog ../rtl/uart_top.v +incdir+../rtl
28
vlog ../rtl/uart_parser.v +incdir+../rtl
29
vlog ../rtl/uart2bus_top.v +incdir+../rtl
30
#-----------------------------
31
# UART Top Testbench Compiling
32
#------------------------------
33
vlog -novopt uart_top.sv +incdir+../../rtl/i2c/ +incdir+./ +incdir+../rtl +UVM_TESTNAME=write_text_mode
34
#-----------------------------
35
# UART Top Testbench Simulation
36
#------------------------------
37
vsim -novopt +coverage -c uart_top_tb
38
#run -all

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.