OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] [trunk/] [tb/] [uvm_src/] [macros/] [uvm_undefineall.svh] - Blame information for rev 16

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 HanySalah
//----------------------------------------------------------------------
2
//   Copyright 2007-2010 Mentor Graphics Corporation
3
//   Copyright 2007-2010 Cadence Design Systems, Inc.
4
//   Copyright 2010 Synopsys, Inc.
5
//   All Rights Reserved Worldwide
6
//
7
//   Licensed under the Apache License, Version 2.0 (the
8
//   "License"); you may not use this file except in
9
//   compliance with the License.  You may obtain a copy of
10
//   the License at
11
//
12
//       http://www.apache.org/licenses/LICENSE-2.0
13
//
14
//   Unless required by applicable law or agreed to in
15
//   writing, software distributed under the License is
16
//   distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
17
//   CONDITIONS OF ANY KIND, either express or implied.  See
18
//   the License for the specific language governing
19
//   permissions and limitations under the License.
20
//----------------------------------------------------------------------
21
// This file undefs all macros that are defined by the UVM library. This can
22
// be used to load uvm into multiple scopes using a single compilation.
23
 
24
`undef UVM_BLOCKING_GET_IMP
25
`undef UVM_BLOCKING_GET_IMP_SFX
26
`undef UVM_BLOCKING_GET_PEEK_IMP
27
`undef UVM_BLOCKING_PEEK_IMP
28
`undef UVM_BLOCKING_PEEK_IMP_SFX
29
`undef UVM_BLOCKING_PUT_IMP
30
`undef UVM_BLOCKING_PUT_IMP_SFX
31
`undef UVM_BLOCKING_TRANSPORT_IMP
32
`undef UVM_BLOCKING_TRANSPORT_IMP_SFX
33
`undef DODEEPCOPY
34
`undef DOREFERENCECOPY
35
`undef DOSHALLOWCOPY
36
`undef UVM_FUNCTION_ERROR
37
`undef UVM_GET_IMP
38
`undef UVM_GET_PEEK_IMP
39
`undef M_RESIZE_QUEUE_COPY
40
`undef M_RESIZE_QUEUE_NOCOPY
41
`undef M_RESIZE_QUEUE_OBJECT_COPY
42
`undef M_RESIZE_QUEUE_OBJECT_NOCOPY
43
`undef m_uvm_record_any_object
44
`undef m_uvm_record_array_int
45
`undef m_uvm_record_array_object
46
`undef m_uvm_record_array_string
47
`undef m_uvm_record_int
48
`undef m_uvm_record_object
49
`undef m_uvm_record_qda_enum
50
`undef m_uvm_record_string
51
`undef UVM_NONBLOCKING_GET_IMP
52
`undef UVM_NONBLOCKING_GET_IMP_SFX
53
`undef UVM_NONBLOCKING_GET_PEEK_IMP
54
`undef UVM_NONBLOCKING_PEEK_IMP
55
`undef UVM_NONBLOCKING_PEEK_IMP_SFX
56
`undef UVM_NONBLOCKING_PUT_IMP
57
`undef UVM_NONBLOCKING_PUT_IMP_SFX
58
`undef UVM_NONBLOCKING_TRANSPORT_IMP
59
`undef UVM_NONBLOCKING_TRANSPORT_IMP_SFX
60
`undef UVM_PEEK_IMP
61
`undef print_enum_field
62
`undef print_integral_field
63
`undef _protected
64
`undef UVM_PUT_IMP
65
`undef UVM_SEQ_ITEM_FUNCTION_ERROR
66
`undef UVM_SEQ_ITEM_GET_MASK
67
`undef UVM_SEQ_ITEM_GET_NEXT_ITEM_MASK
68
`undef UVM_SEQ_ITEM_HAS_DO_AVAILABLE_MASK
69
`undef UVM_SEQ_ITEM_ITEM_DONE_MASK
70
`undef UVM_SEQ_ITEM_PEEK_MASK
71
`undef UVM_SEQ_ITEM_PULL_IMP
72
`undef UVM_SEQ_ITEM_PULL_MASK
73
`undef UVM_SEQ_ITEM_PUSH_MASK
74
`undef UVM_SEQ_ITEM_PUT_MASK
75
`undef UVM_SEQ_ITEM_PUT_RESPONSE_MASK
76
`undef UVM_SEQ_ITEM_TASK_ERROR
77
`undef UVM_SEQ_ITEM_TRY_NEXT_ITEM_MASK
78
`undef UVM_SEQ_ITEM_UNI_PULL_MASK
79
`undef UVM_SEQ_ITEM_WAIT_FOR_SEQUENCES_MASK
80
`undef UVM_TASK_ERROR
81
`undef UVM_TRANSPORT_IMP
82
`undef _UVM_CB_MSG_NO_CBS
83
`undef _UVM_CB_MSG_NOT_REG
84
`undef _UVM_CB_MSG_NULL_CB
85
`undef _UVM_CB_MSG_NULL_OBJ

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.