OpenCores
URL https://opencores.org/ocsvn/uart6551/uart6551/trunk

Subversion Repositories uart6551

[/] [uart6551/] [trunk/] [trunk/] [rtl/] [uart6551.sv] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 robfinch
// ============================================================================
2
//        __
3 8 robfinch
//   \\__/ o\    (C) 2005-2022  Robert Finch, Waterloo
4 2 robfinch
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch@finitron.ca
6
//       ||
7
//
8
//
9 8 robfinch
// BSD 3-Clause License
10
// Redistribution and use in source and binary forms, with or without
11
// modification, are permitted provided that the following conditions are met:
12 2 robfinch
//
13 8 robfinch
// 1. Redistributions of source code must retain the above copyright notice, this
14
//    list of conditions and the following disclaimer.
15
//
16
// 2. Redistributions in binary form must reproduce the above copyright notice,
17
//    this list of conditions and the following disclaimer in the documentation
18
//    and/or other materials provided with the distribution.
19
//
20
// 3. Neither the name of the copyright holder nor the names of its
21
//    contributors may be used to endorse or promote products derived from
22
//    this software without specific prior written permission.
23
//
24
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
25
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
26
// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
27
// DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
28
// FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
29
// DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
30
// SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
31
// CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
32
// OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
33
// OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
34
//
35 2 robfinch
// ============================================================================
36
//
37
`define UART_TRB                2'd0    // transmit/receive buffer
38
`define UART_STAT               2'd1
39
`define UART_CMD                2'd2
40
`define UART_CTRL               2'd3
41
 
42
module uart6551(rst_i, clk_i, cs_i, irq_o,
43
        cyc_i, stb_i, ack_o, we_i, sel_i, adr_i, dat_i, dat_o,
44
        cts_ni, rts_no, dsr_ni, dcd_ni, dtr_no, ri_ni,
45
        rxd_i, txd_o, data_present,
46
        rxDRQ_o, txDRQ_o,
47
        xclk_i, RxC_i
48
);
49 9 robfinch
parameter pClkFreq = 100;
50 2 robfinch
parameter pCounterBits = 24;
51
parameter pFifoSize = 1024;
52
parameter pClkDiv = 24'd1302;   // 9.6k baud, 200.000MHz clock
53
parameter HIGH = 1'b1;
54
parameter LOW = 1'b0;
55
input rst_i;
56
input clk_i;                    // eg 50.000MHz
57
input cs_i;             // circuit select
58
// WISHBONE -------------------------------
59
input cyc_i;            // bus cycle valid
60
input stb_i;
61
output ack_o;
62
input we_i;                     // 1 = write
63
input [3:0] sel_i;
64
input [3:2] adr_i;      // register address
65
input [31:0] dat_i;     // data input bus
66
output reg [31:0] dat_o;        // data output bus
67
//------------------------------------------
68
output reg irq_o;               // interrupt request
69
input cts_ni;                   // clear to send - (flow control) active low
70
output reg rts_no;              // request to send - (flow control) active low
71
input dsr_ni;   // data set ready - active low
72
input dcd_ni;   // data carrier detect - active low
73
output reg dtr_no;      // data terminal ready - active low
74
input ri_ni;            // ring indicator
75
input rxd_i;            // serial data in
76
output txd_o;           // serial data out
77
output data_present;
78
output rxDRQ_o; // reciever DMA request
79
output txDRQ_o; // transmitter DMA request
80
input xclk_i;           // external clock source
81
input RxC_i;            // external receiver clock source
82
 
83
reg accessCD;           // clock multiplier access flag
84
reg llb;                        // local loopback mode
85
reg dmaEnable;
86
// baud rate clock control
87
reg [4:0] baudRateSel;
88
reg selCD;                              // Use clock multiplier register
89
reg [pCounterBits-1:0] c;       // current count
90
reg [pCounterBits-1:0] ckdiv;   // baud rate clock divider
91
reg [pCounterBits-1:0] clkdiv;  // clock multiplier register
92
reg [1:0] xclks;        // synchronized external clock
93
reg [1:0] RxCs;         // synchronized external receiver clock
94
reg baud16;                     // 16x baud rate clock
95
wire baud16rx;          // reciever clock
96
reg xClkSrc;            // uart baud clock is external
97
reg rxClkSrc;           // receiver clock is external
98
 
99
// frame format registers
100
reg [3:0] wordLength;
101
reg stopBit;
102
reg [2:0] stopBits;
103
reg [2:0] parityCtrl;
104
wire [7:0] frameSize;
105
 
106
reg txBreak;            // transmit a break
107
 
108
wire rxFull;
109
wire rxEmpty;
110
wire txFull;
111
wire txEmpty;
112
reg hwfc;                       // hardware flow control enable
113
wire [7:0] lineStatusReg;
114
wire [7:0] modemStatusReg;
115
wire [7:0] irqStatusReg;
116
// interrupt
117
reg rxIe;
118
reg txIe;
119
reg modemStatusChangeIe;
120
wire modemStatusChange;
121
reg lineStatusChangeIe;
122
wire lineStatusChange;
123
reg rxToutIe;           // receiver timeout interrupt enable
124
reg [3:0] rxThres;      // receiver threshold for interrupt
125
reg [3:0] txThres;      // transmitter threshold for interrupt
126
reg rxTout;                     // receiver timeout
127 3 robfinch
wire [9:0] rxCnt;       // reciever counter value
128 2 robfinch
reg [7:0] rxToutMax;
129
reg [2:0] irqenc;       // encoded irq cause
130
wire rxITrig;           // receiver interrupt trigger level
131
wire txITrig;           // transmitter interrupt trigger level
132
// reciever errors
133
wire parityErr;         // reciever detected a parity error
134
wire frameErr;          // receiver char framing error
135
wire overrun;           // receiver over run
136
wire rxBreak;           // reciever detected a break
137
wire rxGErr;            // global error: there is at least one error in the reciever fifo
138
// modem controls
139
reg [1:0] ctsx;         // cts_n sampling
140
reg [1:0] dcdx;
141
reg [1:0] dsrx;
142
reg [1:0] rix;
143
reg deltaCts;
144
reg deltaDcd;
145
reg deltaDsr;
146
reg deltaRi;
147
 
148
// fifo
149
reg rxFifoClear;
150
reg txFifoClear;
151 8 robfinch
reg txClear;
152 2 robfinch
reg fifoEnable;
153
wire [3:0] rxQued;
154
wire [3:0] txQued;
155
 
156
// test
157
wire txd1;
158
 
159
assign data_present = ~rxEmpty;
160
 
161
assign rxITrig = rxQued >= rxThres;
162
assign txITrig = txQued <= txThres;
163
wire rxDRQ1 = (fifoEnable ? rxITrig : ~rxEmpty);
164
wire txDRQ1 = (fifoEnable ? txITrig : txEmpty);
165 3 robfinch
assign rxDRQ_o = dmaEnable & rxDRQ1;
166
assign txDRQ_o = dmaEnable & txDRQ1;
167 2 robfinch
wire rxIRQ = rxIe & rxDRQ1;
168
wire txIRQ = txIe & txDRQ1;
169
 
170
reg [7:0] cmd0, cmd1, cmd2, cmd3;
171
reg [7:0] ctrl0, ctrl1, ctrl2, ctrl3;
172
 
173
always @(posedge clk_i)
174
        irq_o <=
175
          rxIRQ
176
        | txIRQ
177
        | (rxTout & rxToutIe)
178
        | (lineStatusChange & lineStatusChangeIe)
179
        | (modemStatusChange & modemStatusChangeIe)
180
        ;
181
 
182
// Hold onto address and data an extra cycle.
183
// The extra cycle updates or reads the serial transmit / receive.
184
reg [31:0] dati;
185
always @(posedge clk_i)
186
        dati <= dat_i;
187
reg [3:2] adr_h;
188
always @(posedge clk_i)
189
        adr_h <= adr_i;
190
reg we;
191
always @(posedge clk_i)
192
        we <= we_i;
193
reg [3:0] sel;
194
always @(posedge clk_i)
195
        sel <= sel_i;
196
 
197
wire [7:0] rx_do;
198
wire rdrx = ack_o && adr_h==`UART_TRB && ~we && !accessCD;
199
wire txrx = ack_o && adr_h==`UART_TRB && !accessCD;
200
 
201
wire cs = cs_i & cyc_i & stb_i;
202
 
203
ack_gen #(
204
        .READ_STAGES(1),
205
        .WRITE_STAGES(0),
206
        .REGISTER_OUTPUT(1)
207
) uag1
208
(
209
        .clk_i(clk_i),
210
        .ce_i(1'b1),
211 8 robfinch
        .rid_i('d0),
212
        .wid_i('d0),
213
        .i(cs & ~we_i),
214 2 robfinch
        .we_i(cs & we_i),
215 8 robfinch
        .o(ack_o),
216
        .rid_o(),
217
        .wid_o()
218 2 robfinch
);
219
 
220
uart6551Rx uart_rx0
221
(
222
        .rst(rst_i),
223
        .clk(clk_i),
224
        .cyc(cyc_i),
225
        .cs(rdrx),
226
        .wr(we),
227
        .dout(rx_do),
228
        .ack(),
229
        .fifoEnable(fifoEnable),
230
        .fifoClear(rxFifoClear),
231
        .clearGErr(1'b0),
232
        .wordLength(wordLength),
233
        .parityCtrl(parityCtrl),
234
        .frameSize(frameSize),
235
        .stop_bits(stopBits),
236
        .baud16x_ce(baud16rx),
237
        .clear(1'b0),
238
        .rxd(llb ? txd1 : rxd_i),
239
        .full(),
240
        .empty(rxEmpty),
241
        .frameErr(frameErr),
242
        .overrun(overrun),
243
        .parityErr(parityErr),
244
        .break_o(rxBreak),
245
        .gerr(rxGErr),
246
        .qcnt(rxQued),
247
        .cnt(rxCnt)
248
);
249
 
250
uart6551Tx uart_tx0
251
(
252
        .rst(rst_i),
253
        .clk(clk_i),
254
        .cyc(cyc_i),
255
        .cs(txrx),
256
        .wr(we),
257
        .din(dati[7:0]),
258
        .ack(),
259
        .fifoEnable(fifoEnable),
260
        .fifoClear(txFifoClear),
261
        .txBreak(txBreak),
262
        .frameSize(frameSize),  // 16 x 10 bits
263
        .wordLength(wordLength),// 8 bits
264
        .parityCtrl(parityCtrl),// no parity
265
        .baud16x_ce(baud16),
266
        .cts(ctsx[1]|~hwfc),
267 8 robfinch
        .clear(txClear),
268 2 robfinch
        .txd(txd1),
269
        .full(txFull),
270
        .empty(txEmpty),
271
        .qcnt(txQued)
272
);
273
 
274
assign txd_o = llb ? 1'b1 : txd1;
275
 
276
assign lineStatusReg = {rxGErr,1'b0,txFull,rxBreak,1'b0,1'b0,1'b0,1'b0};
277
assign modemStatusChange = deltaDcd|deltaRi|deltaDsr|deltaCts;  // modem status delta
278
assign modemStatusReg = {1'b0,~rix[1],1'b0,~ctsx[1],deltaDcd, deltaRi, deltaDsr, deltaCts};
279
assign irqStatusReg = {irq_o,2'b00,irqenc,2'b00};
280
 
281
// mux the reg outputs
282
always @(posedge clk_i)
283
begin
284
        case(adr_i)
285
        `UART_TRB:      dat_o <= accessCD ? {8'h0,clkdiv} : {24'h0,rx_do};      // receiver holding register
286
        `UART_STAT:     dat_o <= {irqStatusReg,modemStatusReg,lineStatusReg,irq_o,dsrx[1],dcdx[1],fifoEnable ? ~txFull : txEmpty,~rxEmpty,overrun,frameErr,parityErr};
287
        `UART_CMD:      dat_o <= {cmd3,cmd2,cmd1,cmd0};
288
        `UART_CTRL:     dat_o <= {ctrl3,ctrl2,ctrl1,ctrl0};
289
        endcase
290
end
291
 
292
 
293
// register updates
294
always @(posedge clk_i)
295
if (rst_i) begin
296
        rts_no <= HIGH;
297
        dtr_no <= HIGH;
298
        // interrupts
299
        rxIe                            <= 1'b0;
300
        txIe                            <= 1'b0;
301
        modemStatusChangeIe     <= 1'b0;
302
        lineStatusChangeIe      <= 1'b0;
303
        hwfc                            <= 1'b0;
304
        modemStatusChangeIe     <= 1'b0;
305
        lineStatusChangeIe      <= 1'b0;
306
        dmaEnable                       <= 1'b0;
307
        // clock control
308
        baudRateSel <= 5'h0;
309
        rxClkSrc        <= 1'b0;                // ** 6551 defaults to zero (external receiver clock)
310
        clkdiv <= pClkDiv;
311
        // frame format
312
        wordLength      <= 4'd8;        // 8 bits
313
        stopBit         <= 1'b0;                // 1 stop bit
314
        parityCtrl      <= 3'd0;        // no parity
315
 
316
        txBreak         <= 1'b0;
317
        // Fifo control
318
        txFifoClear     <= 1'b1;
319
        rxFifoClear <= 1'b1;
320 8 robfinch
        txClear <= 1'b1;
321 2 robfinch
        fifoEnable      <= 1'b1;
322
        // Test
323
        llb                     <= 1'b0;
324
        selCD           <= 1'b0;
325
        accessCD   <= 1'b0;
326
end
327
else begin
328
 
329
        //llb <= 1'b1;
330
        rxFifoClear <= 1'b0;
331
        txFifoClear <= 1'b0;
332 8 robfinch
        txClear <= 1'b0;
333 2 robfinch
        ctrl2[1] <= 1'b0;
334
        ctrl2[2] <= 1'b0;
335
 
336
        if (ack_o & we) begin
337
                case (adr_h)    // synopsys full_case parallel_case
338
 
339
                `UART_TRB:
340
                        if (accessCD) begin
341
                                clkdiv <= dati;
342
                                accessCD <= 1'b0;
343
                                ctrl3[7] <= 1'b0;
344
                        end
345
 
346
                // Writing to the status register does a software reset of some bits.
347
                `UART_STAT:
348
                        begin
349
                                dtr_no <= HIGH;
350
                                rxIe <= 1'b0;
351
                                rts_no <= HIGH;
352
                                txIe <= 1'b0;
353
                                txBreak <= 1'b0;
354
                                llb <= 1'b0;
355
                        end
356
                `UART_CMD:
357
      begin
358
        if (sel[0]) begin
359
                cmd0 <= dati[7:0];
360
                                        dtr_no <= ~dati[0];
361
                rxIe   <= ~dati[1];
362
                case(dati[3:2])
363
                2'd0:   begin rts_no <= 1'b1; txIe <= 1'b0; txBreak <= 1'b0; end
364
                2'd1: begin rts_no <= 1'b0; txIe <= 1'b1; txBreak <= 1'b0; end
365
                2'd2: begin rts_no <= 1'b0; txIe <= 1'b0; txBreak <= 1'b0; end
366
                2'd3: begin rts_no <= 1'b0; txIe <= 1'b0; txBreak <= 1'b1; end
367
                endcase
368
                llb <= dati[4];
369
          parityCtrl <= dati[7:5];    //000=none,001=odd,011=even,101=force 1,111 = force 0
370
        end
371
        if (sel[1]) begin
372
                cmd1 <= dati[15:8];
373
                lineStatusChangeIe  <= dati[8];
374
                modemStatusChangeIe <= dati[9];
375
                rxToutIe <= dati[10];
376
        end
377
        if (sel[2])
378
                cmd2 <= dati[23:16];
379
        if (sel[3])
380
                cmd3 <= dati[31:24];
381
      end
382
 
383
    `UART_CTRL:
384
        begin
385
                if (sel[0]) begin
386
                        ctrl0 <= dati[7:0];
387
                baudRateSel[3:0] <= dati[3:0];
388
                                        rxClkSrc <= dati[4];                            // 1 = baud rate generator, 0 = external
389
          //11=5,10=6,01=7,00=8
390
          case(dati[6:5])
391
          2'd0: wordLength <= 6'd8;
392
          2'd1: wordLength <= 6'd7;
393
          2'd2: wordLength <= 6'd6;
394
          2'd3: wordLength <= 6'd5;
395
                endcase
396
          stopBit    <= dati[7];      //0=1,1=1.5 or 2
397
        end
398
                // Extended word length, values beyond 11 not supported.
399
        if (sel[1]) begin
400
                ctrl1 <= dati[15:8];
401
        end
402
        if (sel[2]) begin
403
                ctrl2 <= dati[23:16];
404
                fifoEnable <= dati[16];
405
                rxFifoClear <= dati[17];
406
                txFifoClear <= dati[18];
407
                case (dati[21:20])
408
                2'd0:   txThres <= 4'd1;                // one-byte
409
                2'd1:   txThres <= pFifoSize / 4;       // one-quarter full
410
                2'd2:   txThres <= pFifoSize / 2;       // one-half full
411
                2'd3:   txThres <= pFifoSize * 3 / 4;   // three-quarters full
412
                endcase
413
                case (dati[23:22])
414
                2'd0:   rxThres <= 4'd1;                // one-byte
415
                2'd1:   rxThres <= pFifoSize / 4;       // one-quarter full
416
                2'd2:   rxThres <= pFifoSize / 2;       // one-half full
417
                2'd3:   rxThres <= pFifoSize * 3 / 4;   // three quarters full
418
                endcase
419
        end
420
        if (sel[3]) begin
421
                ctrl3 <= dati[31:24];
422
                                        hwfc <= dati[24];
423
                                        dmaEnable <= dati[26];
424
                baudRateSel[4] <= dati[27];
425 8 robfinch
                txClear <= dati[29];
426 2 robfinch
                selCD <= dati[30];
427
                accessCD <= dati[31];
428
        end
429
      end
430
 
431
                default:
432
                        ;
433
                endcase
434
        end
435
end
436
 
437
// ----------------------------------------------------------------------------
438
// Baud rate control.
439
// ----------------------------------------------------------------------------
440
 
441
always @(posedge clk_i)
442
        xClkSrc <= baudRateSel==5'd0;
443
 
444
wire [pCounterBits-1:0] bclkdiv;
445 8 robfinch
uart6551BaudLUT #(.pClkFreq(pClkFreq), .pCounterBits(pCounterBits)) ublt1 (.a(baudRateSel), .o(bclkdiv));
446 2 robfinch
 
447
reg [pCounterBits-1:0] clkdiv2;
448
always @(posedge clk_i)
449
        clkdiv2 <= selCD ? clkdiv : bclkdiv;
450
 
451
always @(posedge clk_i)
452
if (rst_i)
453 9 robfinch
        c <= 24'd1;
454 2 robfinch
else begin
455
        c <= c + 2'd1;
456
        if (c >= clkdiv2)
457
                c <= 2'd1;
458
end
459
 
460
// for detecting an edge on the baud clock
461
wire ibaud16 = c == 2'd1;
462
 
463
// Detect an edge on the external clock
464
wire xclkEdge;
465
edge_det ed1(.rst(rst_i), .clk(clk_i), .ce(1'b1), .i(xclks[1]), .pe(xclkEdge), .ne() );
466
 
467
// Detect an edge on the external clock
468
wire rxClkEdge;
469
edge_det ed2(.rst(rst_i), .clk(clk_i), .ce(1'b1), .i(RxCs[1]), .pe(rxClkEdge), .ne() );
470
 
471 9 robfinch
always_comb
472 2 robfinch
if (xClkSrc)            // 16x external clock (xclk)
473
        baud16 <= xclkEdge;
474
else
475
        baud16 <= ibaud16;
476
 
477
assign baud16rx = rxClkSrc ? baud16 : rxClkEdge;
478
 
479
//------------------------------------------------------------
480
// external signal synchronization
481
//------------------------------------------------------------
482
 
483
// External receiver clock
484
always @(posedge clk_i)
485
        RxCs <= {RxCs[1:0],RxC_i};
486
 
487
// External baud clock
488
always @(posedge clk_i)
489
        xclks <= {xclks[1:0],xclk_i};
490
 
491
 
492
always @(posedge clk_i)
493
        ctsx <= {ctsx[0],llb?~rts_no:~cts_ni};
494
 
495
always @(posedge clk_i)
496
        dcdx <= {dcdx[0],~dcd_ni};
497
 
498
always @(posedge clk_i)
499
        dsrx <= {dsrx[0],llb?~dtr_no:~dsr_ni};
500
 
501
always @(posedge clk_i)
502
        rix <= {rix[0],~ri_ni};
503
 
504
//------------------------------------------------------------
505
// state change detectors
506
//------------------------------------------------------------
507
 
508
wire ne_stat;
509
edge_det ued3 (
510
        .rst(rst_i),
511
        .clk(clk_i),
512
        .ce(1'b1),
513
        .i(ack_o && adr_i==`UART_STAT && ~we_i && sel_i[2]),
514
        .pe(),
515
        .ne(ne_stat),
516
        .ee()
517
);
518
 
519
// detect a change on the dsr signal
520
always @(posedge clk_i)
521
if (rst_i)
522
        deltaDsr <= 1'b0;
523
else begin
524
        if (ne_stat)
525
                deltaDsr <= 0;
526
        else if (~deltaDsr)
527
                deltaDsr <= dsrx[1] ^ dsrx[0];
528
end
529
 
530
// detect a change on the dcd signal
531
always @(posedge clk_i)
532
if (rst_i)
533
        deltaDcd <= 1'b0;
534
else begin
535
        if (ne_stat)
536
                deltaDcd <= 0;
537
        else if (~deltaDcd)
538
                deltaDcd <= dcdx[1] ^ dcdx[0];
539
end
540
 
541
// detect a change on the cts signal
542
always @(posedge clk_i)
543
if (rst_i)
544
        deltaCts <= 1'b0;
545
else begin
546
        if (ne_stat)
547
                deltaCts <= 0;
548
        else if (~deltaCts)
549
                deltaCts <= ctsx[1] ^ ctsx[0];
550
end
551
 
552
// detect a change on the ri signal
553
always @(posedge clk_i)
554
if (rst_i)
555
        deltaRi <= 1'b0;
556
else begin
557
        if (ne_stat)
558
                deltaRi <= 0;
559
        else if (~deltaRi)
560
                deltaRi <= rix[1] ^ rix[0];
561
end
562
 
563
// detect a change in line status
564
reg [7:0] pLineStatusReg;
565
always @(posedge clk_i)
566
        pLineStatusReg <= lineStatusReg;
567
 
568
assign lineStatusChange = pLineStatusReg != lineStatusReg;
569
 
570
//-----------------------------------------------------
571
 
572
// compute recieve timeout
573
always @(wordLength)
574
        rxToutMax <= (wordLength << 2) + 6'd12;
575
 
576
always @(posedge clk_i)
577
if (rst_i)
578
        rxTout <= 1'b0;
579
else begin
580
        // read of receiver clears timeout counter
581
        if (rdrx)
582
                rxTout <= 1'b0;
583
        // Don't time out if the fifo is empty
584
        else if (rxCnt[9:4]==rxToutMax && ~rxEmpty)
585
                rxTout <= 1'b1;
586
end
587
 
588
 
589
//-----------------------------------------------------
590
// compute the 2x number of stop bits
591
always @*
592
if (stopBit==1'b0)          // one stop bit
593
        stopBits <= 3'd2;
594
else if (wordLength==6'd8 && parityCtrl != 3'd0)
595
        stopBits <= 3'd2;
596
else if (wordLength==6'd5 && parityCtrl == 3'd0)        // 5 bits - 1 1/2 stop bit
597
        stopBits <= 3'd3;
598
else
599
        stopBits <= 3'd4;          // two stop bits
600
 
601
 
602
// compute frame size
603
// frame size is one less
604
assign frameSize = {wordLength + 4'd1 + stopBits[2:1] + parityCtrl[0], stopBits[0],3'b0} - 1;
605
 
606
//-----------------------------------------------------
607
// encode IRQ mailbox
608 3 robfinch
always @(rxDRQ_o or rxTout or txDRQ_o or lineStatusChange or modemStatusChange)
609 2 robfinch
        irqenc <=
610
                lineStatusChange ? 3'd0 :
611 3 robfinch
                ~rxDRQ_o ? 3'd1 :
612 2 robfinch
                rxTout ? 3'd2 :
613 3 robfinch
                ~txDRQ_o ? 3'd3 :
614 2 robfinch
                modemStatusChange ? 3'd4 :
615
                3'd0;
616
 
617
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.