OpenCores
URL https://opencores.org/ocsvn/uart8systemc/uart8systemc/trunk

Subversion Repositories uart8systemc

[/] [uart8systemc/] [trunk/] [systemC/] [link_sc.h] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 redbear
#ifndef CONTROL_SC_H
2
#define CONTROL_SC_H
3
 
4
class Control_SC
5
{
6
        public:
7
        /*Constructor*/
8
        Control_SC();
9
 
10
        /*initialize systemC model*/
11 7 redbear
        //virtual void init();
12 2 redbear
 
13
        /*Reset the model*/
14 7 redbear
        virtual bool reset_set();
15
        virtual void clear_validation();
16 2 redbear
 
17 7 redbear
        /*Finish Simulation*/
18
        virtual unsigned int finish_simulation();
19 2 redbear
 
20 7 redbear
        /**/
21
        virtual unsigned int set_clock_rtl();
22
        virtual bool enable_change();
23
 
24 2 redbear
        /*Get the baud rate and set it to your DUT*/
25
        virtual int  get_baud_rate();
26
 
27
        /*We use functions to retreive values from RX / TX SytemC to Verilog*/
28
        virtual void write_rx(unsigned int a);
29
        virtual int read_tx();
30
 
31 7 redbear
        /**/
32
        virtual void get_value_sc_vlog(unsigned int value,unsigned int parity);
33
 
34 2 redbear
        /*Run the Env for a mmount off time*/
35
        virtual void run_sim();
36
 
37
        /*Tell to SystemC to finish*/
38
        virtual void stop_sim();
39
 
40
};
41
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.