OpenCores
URL https://opencores.org/ocsvn/uart8systemc/uart8systemc/trunk

Subversion Repositories uart8systemc

[/] [uart8systemc/] [trunk/] [testbench/] [module_tb.v] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 redbear
 
2
`timescale 1ns/1ns
3
module module_tb;
4
 
5
        reg CLK;
6 7 redbear
 
7 2 redbear
        wire RESET;
8
        wire RX;
9
        wire START;
10
        wire [7:0] DATA_TX;
11
        wire [11:0] WORK_FR;
12
        wire TX;
13
        wire [7:0] DATA_RX;
14
        wire PARITY_RX;
15
        wire READY_TX;
16
        wire READY;
17
 
18
        integer i;
19 7 redbear
        integer a;
20
        //assign DATA_TX = DATA_RX;
21 2 redbear
 
22
        initial
23
         begin
24
            $dumpfile("module_tb.vcd");
25
            $dumpvars(0,module_tb);
26
            $global_init;
27
            i=0;
28 7 redbear
            a=10;
29 2 redbear
         end
30
 
31 7 redbear
        initial CLK = 1'b0;
32
        always #(a) CLK = ~CLK;
33
 
34 2 redbear
        UART DUT(
35
                        .CLK(CLK),
36
                        .RESET(RESET),
37
                        .RX(RX),
38
                        .START(START),
39
                        .DATA_TX(DATA_TX),
40
                        .WORK_FR(WORK_FR),
41
                        .TX(TX),
42
                        .DATA_RX(DATA_RX),
43
                        .PARITY_RX(PARITY_RX),
44
                        .READY_TX(READY_TX),
45
                        .READY(READY)
46
                );
47
 
48
 
49
        always@(posedge CLK)
50
                $reset_uart;
51
 
52
        always@(posedge CLK)
53 7 redbear
                $run_sim;
54 2 redbear
 
55
        //FLAG USED TO FINISH SIMULATION PROGRAM 
56
        always@(posedge CLK)
57
        begin
58 7 redbear
                wait(i == 1);
59 2 redbear
                $finish();
60
        end
61
 
62
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.