OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [SYC0001a.vhd] - Blame information for rev 27

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 leonardoar
----------------------------------------------------------------------
2
-- Module name:     SYC0001a.VHD
3
--
4
-- Description:     A simple WISHBONE SYSCON for FPGA.  For more infor-
5
--                  mation, please refer to the WISHBONE Public Domain
6
--                  Library Technical Reference Manual.
7
--
8
-- History:         Project complete:           SEP 20, 2001
9
--                                              WD Peterson
10
--                                              Silicore Corporation
11
--
12
-- Release:         Notice is hereby given that this document is not
13
--                  copyrighted, and has been placed into the public
14
--                  domain.  It may be freely copied and distributed
15
--                  by any means.
16
--
17
-- Disclaimer:      In no event shall Silicore Corporation be liable
18
--                  for incidental, consequential, indirect or special
19
--                  damages resulting from the use of this file.  The
20
--                  user assumes all responsibility for its use.
21
--
22
----------------------------------------------------------------------
23
 
24
----------------------------------------------------------------------
25
-- Load the IEEE 1164 library and make it visible.
26
----------------------------------------------------------------------
27
 
28
library ieee;
29
use ieee.std_logic_1164.all;
30
 
31
 
32
----------------------------------------------------------------------
33
-- Entity declaration.
34
----------------------------------------------------------------------
35
 
36
entity SYC0001a is
37
    port(
38
            -- WISHBONE Interface
39
 
40
            CLK_O:  out std_logic;
41
            RST_O:  out std_logic;
42
 
43
 
44
            -- NON-WISHBONE Signals
45
 
46
            EXTCLK: in  std_logic;
47
            EXTRST: in  std_logic
48
         );
49
 
50
end SYC0001a;
51
 
52
 
53
----------------------------------------------------------------------
54
-- Architecture definition.
55
----------------------------------------------------------------------
56
 
57
architecture SYC0001a1 of SYC0001a IS
58
 
59
begin
60
 
61
 
62
    ------------------------------------------------------------------
63
    -- Make selected signals available to the outside world.
64
    ------------------------------------------------------------------
65
 
66
    MAKE_VISIBLE: process( EXTCLK, EXTRST )
67
    begin
68
 
69
        CLK_O <= EXTCLK;
70
        RST_O <= EXTRST;
71
 
72
    end process MAKE_VISIBLE;
73
 
74
end architecture SYC0001a1;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.