OpenCores
URL https://opencores.org/ocsvn/udp_ip__core/udp_ip__core/trunk

Subversion Repositories udp_ip__core

[/] [udp_ip__core/] [trunk/] [UDP_IP_CORE/] [UDP_IP_CORE__Spartan3/] [COUNTER_11B_EN_TRANS.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 NikosAl
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    16:16:57 11/30/2009 
6
-- Design Name: 
7
-- Module Name:    COUNTER_11B_EN_TRANS - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
use IEEE.STD_LOGIC_ARITH.ALL;
23
use IEEE.STD_LOGIC_UNSIGNED.ALL;
24
 
25
---- Uncomment the following library declaration if instantiating
26
---- any Xilinx primitives in this code.
27
--library UNISIM;
28
--use UNISIM.VComponents.all;
29
 
30
entity COUNTER_11B_EN_TRANS is
31
    Port ( rst : in  STD_LOGIC;
32
           clk : in  STD_LOGIC;
33
           count_en : in  STD_LOGIC;
34
           value_O : inout  STD_LOGIC_VECTOR (10 downto 0));
35
end COUNTER_11B_EN_TRANS;
36
 
37
architecture Behavioral of COUNTER_11B_EN_TRANS is
38
 
39
begin
40
 
41
process(clk)
42
begin
43
if rst='1' then
44
                value_O<="11111110110";
45
else
46
        if clk'event and clk='1' then
47
                if count_en='1' then
48
                        value_O<=value_O+"00000000001";
49
                else
50
                        value_O<=value_O;
51
                end if;
52
        end if;
53
end if;
54
end process;
55
 
56
 
57
end Behavioral;
58
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.