OpenCores
URL https://opencores.org/ocsvn/uos_processor/uos_processor/trunk

Subversion Repositories uos_processor

[/] [README.md] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 droggen
# uos_educational_processor
2
University of Sussex Educational Processor
3
 
4
All the content, including VHDL, lecture material (powerpoint), images and others is licensed under LGPL 2.1.
5
 
6
Original author: Daniel Roggen.
7
 
8
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.