OpenCores
URL https://opencores.org/ocsvn/usb2uart/usb2uart/trunk

Subversion Repositories usb2uart

[/] [usb2uart/] [trunk/] [rtl/] [uart_core/] [uart_cfg.v] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 dinesha
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Tubo 8051 cores UART Interface Module                       ////
4
////                                                              ////
5
////  This file is part of the Turbo 8051 cores project           ////
6
////  http://www.opencores.org/cores/turbo8051/                   ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Turbo 8051 definitions.                                     ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////    nothing                                                   ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Dinesh Annayya, dinesha@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
 
44
module uart_cfg (
45
 
46
             mclk,
47
             reset_n,
48
 
49
        // Reg Bus Interface Signal
50
             reg_cs,
51
             reg_wr,
52
             reg_addr,
53
             reg_wdata,
54
             reg_be,
55
 
56
            // Outputs
57
            reg_rdata,
58
            reg_ack,
59
 
60
         // Uart Tx fifo interface
61
            tx_fifo_full,
62
            tx_fifo_wr_en,
63
            tx_fifo_data,
64
 
65
         // Uart Rx fifo interface
66
            rx_fifo_empty,
67
            rx_fifo_rd_en,
68
            rx_fifo_data,
69
 
70
       // configuration
71
            cfg_tx_enable,
72
            cfg_rx_enable,
73
            cfg_stop_bit ,
74
            cfg_pri_mod  ,
75
            cfg_baud_16x ,
76
 
77
            frm_error_o,
78
            par_error_o,
79
            rx_fifo_full_err_o
80
 
81
        );
82
 
83
 
84
 
85
input         mclk;
86
input         reset_n;
87
 
88
//--------------------------------
89
// Uart Tx fifo interface
90
//--------------------------------
91
input            tx_fifo_full;
92
output           tx_fifo_wr_en;
93
output [7:0]     tx_fifo_data;
94
 
95
//--------------------------------
96
// Uart Rx fifo interface
97
//--------------------------------
98
input            rx_fifo_empty;
99
output           rx_fifo_rd_en;
100
input [7:0]      rx_fifo_data;
101
 
102
//----------------------------------
103
// configuration
104
//----------------------------------
105
output        cfg_tx_enable       ; // Tx Enable
106
output        cfg_rx_enable       ; // Rx Enable
107
output        cfg_stop_bit        ; // 0 -> 1 Stop, 1 -> 2 Stop
108
output  [1:0] cfg_pri_mod         ; // priority mode, 0 -> nop, 1 -> Even, 2 -> Odd
109
output  [11:0] cfg_baud_16x       ; // 16x Baud clock config
110
 
111
input         frm_error_o         ; // framing error
112
input         par_error_o         ; // par error
113
input         rx_fifo_full_err_o  ; // rx fifo full error
114
 
115
//---------------------------------
116
// Reg Bus Interface Signal
117
//---------------------------------
118
input             reg_cs         ;
119
input             reg_wr         ;
120
input [3:0]       reg_addr       ;
121
input [31:0]      reg_wdata      ;
122
input [3:0]       reg_be         ;
123
 
124
// Outputs
125
output [31:0]     reg_rdata      ;
126
output            reg_ack     ;
127
 
128
 
129
 
130
//-----------------------------------------------------------------------
131
// Internal Wire Declarations
132
//-----------------------------------------------------------------------
133
 
134
wire           sw_rd_en;
135
wire           sw_wr_en;
136
wire  [3:0]    sw_addr ; // addressing 16 registers
137
wire  [3:0]    wr_be   ;
138
 
139
reg   [31:0]  reg_rdata      ;
140
reg           reg_ack     ;
141
 
142
wire [31:0]    reg_0;  // Software_Reg_0
143
wire [31:0]    reg_1;  // Software-Reg_1
144
wire [31:0]    reg_2;  // Software-Reg_2
145
wire [31:0]    reg_3;  // Software-Reg_3
146
wire [31:0]    reg_4;  // Software-Reg_4
147
wire [31:0]    reg_5;  // Software-Reg_5
148
wire [31:0]    reg_6;  // Software-Reg_6
149
wire [31:0]    reg_7;  // Software-Reg_7
150
wire [31:0]    reg_8;  // Software-Reg_8
151
wire [31:0]    reg_9;  // Software-Reg_9
152
wire [31:0]    reg_10; // Software-Reg_10
153
wire [31:0]    reg_11; // Software-Reg_11
154
wire [31:0]    reg_12; // Software-Reg_12
155
wire [31:0]    reg_13; // Software-Reg_13
156
wire [31:0]    reg_14; // Software-Reg_14
157
wire [31:0]    reg_15; // Software-Reg_15
158
reg  [31:0]    reg_out;
159
 
160
//-----------------------------------------------------------------------
161
// Main code starts here
162
//-----------------------------------------------------------------------
163
 
164
//-----------------------------------------------------------------------
165
// Internal Logic Starts here
166
//-----------------------------------------------------------------------
167
    assign sw_addr       = reg_addr [3:0];
168
    assign sw_rd_en      = reg_cs & !reg_wr;
169
    assign sw_wr_en      = reg_cs & reg_wr;
170
    assign wr_be         = reg_be;
171
 
172
 
173
//-----------------------------------------------------------------------
174
// Read path mux
175
//-----------------------------------------------------------------------
176
 
177
always @ (posedge mclk or negedge reset_n)
178
begin : preg_out_Seq
179
   if (reset_n == 1'b0)
180
   begin
181
      reg_rdata [31:0]  <= 32'h0000_0000;
182
      reg_ack           <= 1'b0;
183
   end
184
   else if (sw_rd_en && !reg_ack)
185
   begin
186
      reg_rdata [31:0]  <= reg_out [31:0];
187
      reg_ack           <= 1'b1;
188
   end
189
   else if (sw_wr_en && !reg_ack)
190
      reg_ack           <= 1'b1;
191
   else
192
   begin
193
      reg_ack        <= 1'b0;
194
   end
195
end
196
 
197
 
198
//-----------------------------------------------------------------------
199
// register read enable and write enable decoding logic
200
//-----------------------------------------------------------------------
201
wire   sw_wr_en_0 = sw_wr_en & (sw_addr == 4'h0);
202
wire   sw_rd_en_0 = sw_rd_en & (sw_addr == 4'h0);
203
wire   sw_wr_en_1 = sw_wr_en & (sw_addr == 4'h1);
204
wire   sw_rd_en_1 = sw_rd_en & (sw_addr == 4'h1);
205
wire   sw_wr_en_2 = sw_wr_en & (sw_addr == 4'h2);
206
wire   sw_rd_en_2 = sw_rd_en & (sw_addr == 4'h2);
207
wire   sw_wr_en_3 = sw_wr_en & (sw_addr == 4'h3);
208
wire   sw_rd_en_3 = sw_rd_en & (sw_addr == 4'h3);
209
wire   sw_wr_en_4 = sw_wr_en & (sw_addr == 4'h4);
210
wire   sw_rd_en_4 = sw_rd_en & (sw_addr == 4'h4);
211
wire   sw_wr_en_5 = sw_wr_en & (sw_addr == 4'h5);
212
wire   sw_rd_en_5 = sw_rd_en & (sw_addr == 4'h5);
213
wire   sw_wr_en_6 = sw_wr_en & (sw_addr == 4'h6);
214
wire   sw_rd_en_6 = sw_rd_en & (sw_addr == 4'h6);
215
wire   sw_wr_en_7 = sw_wr_en & (sw_addr == 4'h7);
216
wire   sw_rd_en_7 = sw_rd_en & (sw_addr == 4'h7);
217
wire   sw_wr_en_8 = sw_wr_en & (sw_addr == 4'h8);
218
wire   sw_rd_en_8 = sw_rd_en & (sw_addr == 4'h8);
219
wire   sw_wr_en_9 = sw_wr_en & (sw_addr == 4'h9);
220
wire   sw_rd_en_9 = sw_rd_en & (sw_addr == 4'h9);
221
wire   sw_wr_en_10 = sw_wr_en & (sw_addr == 4'hA);
222
wire   sw_rd_en_10 = sw_rd_en & (sw_addr == 4'hA);
223
wire   sw_wr_en_11 = sw_wr_en & (sw_addr == 4'hB);
224
wire   sw_rd_en_11 = sw_rd_en & (sw_addr == 4'hB);
225
wire   sw_wr_en_12 = sw_wr_en & (sw_addr == 4'hC);
226
wire   sw_rd_en_12 = sw_rd_en & (sw_addr == 4'hC);
227
wire   sw_wr_en_13 = sw_wr_en & (sw_addr == 4'hD);
228
wire   sw_rd_en_13 = sw_rd_en & (sw_addr == 4'hD);
229
wire   sw_wr_en_14 = sw_wr_en & (sw_addr == 4'hE);
230
wire   sw_rd_en_14 = sw_rd_en & (sw_addr == 4'hE);
231
wire   sw_wr_en_15 = sw_wr_en & (sw_addr == 4'hF);
232
wire   sw_rd_en_15 = sw_rd_en & (sw_addr == 4'hF);
233
 
234
 
235
always @( *)
236
begin : preg_sel_Com
237
 
238
  reg_out [31:0] = 32'd0;
239
 
240
  case (sw_addr [3:0])
241
    4'b0000 : reg_out [31:0] = reg_0 [31:0];
242
    4'b0001 : reg_out [31:0] = reg_1 [31:0];
243
    4'b0010 : reg_out [31:0] = reg_2 [31:0];
244
    4'b0011 : reg_out [31:0] = reg_3 [31:0];
245
    4'b0100 : reg_out [31:0] = reg_4 [31:0];
246
    4'b0101 : reg_out [31:0] = reg_5 [31:0];
247
    4'b0110 : reg_out [31:0] = reg_6 [31:0];
248
    4'b0111 : reg_out [31:0] = reg_7 [31:0];
249
    4'b1000 : reg_out [31:0] = reg_8 [31:0];
250
    4'b1001 : reg_out [31:0] = reg_9 [31:0];
251
    4'b1010 : reg_out [31:0] = reg_10 [31:0];
252
    4'b1011 : reg_out [31:0] = reg_11 [31:0];
253
    4'b1100 : reg_out [31:0] = reg_12 [31:0];
254
    4'b1101 : reg_out [31:0] = reg_13 [31:0];
255
    4'b1110 : reg_out [31:0] = reg_14 [31:0];
256
    4'b1111 : reg_out [31:0] = reg_15 [31:0];
257
  endcase
258
end
259
 
260
 
261
 
262
//-----------------------------------------------------------------------
263
// Individual register assignments
264
//-----------------------------------------------------------------------
265
// Logic for Register 0 : uart Control Register
266
//-----------------------------------------------------------------------
267
wire [1:0]   cfg_pri_mod     = reg_0[4:3]; // priority mode, 0 -> nop, 1 -> Even, 2 -> Odd
268
wire         cfg_stop_bit    = reg_0[2];   // 0 -> 1 Stop, 1 -> 2 Stop
269
wire         cfg_rx_enable   = reg_0[1];   // Rx Enable
270
wire         cfg_tx_enable   = reg_0[0];   // Tx Enable
271
 
272
generic_register #(5,0  ) u_uart_ctrl_be0 (
273
              .we            ({5{sw_wr_en_0 &
274
                                 wr_be[0]   }}  ),
275
              .data_in       (reg_wdata[4:0]    ),
276
              .reset_n       (reset_n           ),
277
              .clk           (mclk              ),
278
 
279
              //List of Outs
280
              .data_out      (reg_0[4:0]        )
281
          );
282
 
283
 
284
assign reg_0[31:5] = 27'h0;
285
 
286
//-----------------------------------------------------------------------
287
// Logic for Register 1 : uart interrupt status
288
//-----------------------------------------------------------------------
289
stat_register u_intr_bit0 (
290
                 //inputs
291
                 . clk        (mclk            ),
292
                 . reset_n    (reset_n         ),
293
                 . cpu_we     (sw_wr_en_1 &
294
                               wr_be[0]        ),
295
                 . cpu_ack    (reg_wdata[0]    ),
296
                 . hware_req  (frm_error_o     ),
297
 
298
                 //outputs
299
                 . data_out   (reg_1[0]        )
300
                 );
301
 
302
stat_register u_intr_bit1 (
303
                 //inputs
304
                 . clk        (mclk            ),
305
                 . reset_n    (reset_n         ),
306
                 . cpu_we     (sw_wr_en_1 &
307
                               wr_be[0]        ),
308
                 . cpu_ack    (reg_wdata[1]    ),
309
                 . hware_req  (par_error_o     ),
310
 
311
                 //outputs
312
                 . data_out   (reg_1[1]        )
313
                 );
314
 
315
stat_register u_intr_bit2 (
316
                 //inputs
317
                 . clk        (mclk                ),
318
                 . reset_n    (reset_n             ),
319
                 . cpu_we     (sw_wr_en_1 &
320
                               wr_be[0]            ),
321
                 . cpu_ack    (reg_wdata[2]        ),
322
                 . hware_req  (rx_fifo_full_err_o  ),
323
 
324
                 //outputs
325
                 . data_out   (reg_1[2]            )
326
                 );
327
 
328
assign reg_1[31:3] = 29'h0;
329
 
330
 
331
//-----------------------------------------------------------------------
332
// Logic for Register 2 :  Baud Rate Control
333
//-----------------------------------------------------------------------
334
wire [11:0]   cfg_baud_16x    = reg_2[11:0];
335
 
336
generic_register #(12,0  ) u_uart_ctrl_reg2 (
337
              .we            ({12{sw_wr_en_2 &
338
                                 wr_be[0]   }}  ),
339
              .data_in       (reg_wdata[11:0]    ),
340
              .reset_n       (reset_n           ),
341
              .clk           (mclk              ),
342
 
343
              //List of Outs
344
              .data_out      (reg_2[11:0]        )
345
          );
346
 
347
 
348
assign reg_2[31:12] = 20'h0;
349
 
350
 
351
 
352
assign reg_3[31:0] = {30'h0,rx_fifo_empty,tx_fifo_full};
353
 
354
// reg_4 is tx_fifo wr
355
assign tx_fifo_wr_en  = sw_wr_en_4;
356
assign tx_fifo_data   = reg_wdata[7:0];
357
 
358
// reg_5 is rx_fifo read
359
// rx_fifo read data
360
assign reg_5[31:0] = {24'h0,rx_fifo_data};
361
assign  rx_fifo_rd_en = sw_rd_en_5;
362
 
363
 
364
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.