OpenCores
URL https://opencores.org/ocsvn/usb2uart/usb2uart/trunk

Subversion Repositories usb2uart

[/] [usb2uart/] [trunk/] [verify/] [log/] [run.log] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 dinesha
Reading D:/Microsemi/Libero_v10.1/Model/tcl/vsim/pref.tcl
2
 
3
# 10.1b
4
 
5
# vsim -do modelsim.do -c tb
6
# //  ModelSim ACTEL 10.1b Apr 27 2012
7
# //
8
# //  Copyright 1991-2012 Mentor Graphics Corporation
9
# //  All Rights Reserved.
10
# //
11
# //  THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
12
# //  WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS
13
# //  LICENSORS AND IS SUBJECT TO LICENSE TERMS.
14
# //
15
# Loading work.tb
16
# Loading work.core
17
# Loading work.usb_phy
18
# Loading work.usb_tx_phy
19
# Loading work.usb_rx_phy
20
# Loading work.usb1_core
21
# Loading work.usb1_utmi_if
22
# Loading work.usb1_pl
23
# Loading work.usb1_pd
24
# Loading work.usb1_crc5
25
# Loading work.usb1_crc16
26
# Loading work.usb1_pa
27
# Loading work.usb1_idma
28
# Loading work.usb1_fifo2
29
# Loading work.usb1_pe
30
# Loading work.usb1_ctrl
31
# Loading work.usb1_rom1
32
# Loading work.sync_fifo
33
# Loading work.generic_fifo_sc_a
34
# Loading work.generic_dpram
35
# Loading work.uart_core
36
# Loading work.uart_cfg
37
# Loading work.generic_register
38
# Loading work.stat_register
39
# Loading work.clk_ctl
40
# Loading work.uart_txfsm
41
# Loading work.uart_rxfsm
42
# Loading work.async_fifo
43
# Loading work.double_sync_low
44
# Loading work.usb_agent
45
# Loading work.host_usb_bfm
46
# Loading work.usb_bfm_encoder
47
# Loading work.usb_bfm_decoder
48
# Loading work.usb_bfm_dpll
49
# Loading work.usb_bfm_clk_switch
50
# Loading work.usb_bfm_nrzi2nrz
51
# Loading work.usb_bfm_ph_detect
52
# Loading work.usb_bfm_pulse_puller
53
# Loading work.uart_agent
54
# Loading work.test_control
55
# Loading work.bit_register
56
# do modelsim.do
57
# 1200: USB Reset  -----
58
# 5418: Set Address = 1 -----
59
# CntrlTransType = 11
60
# In  --> In task wait for response at time 17346000
61
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 17651000
62
# In  --> Decoder enabled at time 18362000 in host
63
# In  --> StopTime = x, SE0StartTime = x
64
# In  --> receive data = d2
65
# In  --> StopTime = x, SE0StartTime = x
66
# In  --> EOP asserted for 2 bit time at time 19163000
67
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
68
#
69
#     #######################################################
70
#     Received Status is ACK at 19163
71
#     #######################################################
72
#
73
# Input Address:00, EndPt:0
74
# i :          1, CntrlTransType:3; CntrlTransAddr:00;CntrlTransEndP:0
75
# In  CntrlTransType = 11, WRITE = 11
76
# In  --> In task wait for response at time 22386000
77
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 22775000
78
# In  --> Decoder enabled at time 23486000 in host
79
# In  --> receive data = 4b
80
# In  --> receive data = 00
81
# In  --> StopTime = x, SE0StartTime = x
82
# In  --> receive data = 00
83
# In  --> StopTime = x, SE0StartTime = x
84
# In  --> EOP asserted for 2 bit time at time 25631000
85
#
86
#     #######################################################
87
#     Received Status is ACK at 27510
88
#     #######################################################
89
#
90
# 32510: Set configuration  -----
91
# CntrlTransType = 11
92
# In  --> In task wait for response at time 44394000
93
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 44699000
94
# In  --> Decoder enabled at time 45412000 in host
95
# In  --> StopTime = x, SE0StartTime = x
96
# In  --> receive data = d2
97
# In  --> StopTime = x, SE0StartTime = x
98
# In  --> EOP asserted for 2 bit time at time 46211000
99
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
100
#
101
#     #######################################################
102
#     Received Status is ACK at 46211
103
#     #######################################################
104
#
105
# Input Address:01, EndPt:0
106
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
107
# In  CntrlTransType = 11, WRITE = 11
108
# In  --> In task wait for response at time 49434000
109
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 49739000
110
# In  --> Decoder enabled at time 50453000 in host
111
# In  --> receive data = 4b
112
# In  --> receive data = 00
113
# In  --> StopTime = x, SE0StartTime = x
114
# In  --> receive data = 00
115
# In  --> StopTime = x, SE0StartTime = x
116
# In  --> EOP asserted for 2 bit time at time 52595000
117
#
118
#     #######################################################
119
#     Received Status is ACK at 54474
120
#     #######################################################
121
#
122
# 56474: Configuration done !!!!!!
123
# CntrlTransType = 11
124
# In  --> In task wait for response at time 68334000
125
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 68639000
126
# In  --> Decoder enabled at time 69334000 in host
127
# In  --> StopTime = x, SE0StartTime = x
128
# In  --> receive data = d2
129
# In  --> StopTime = x, SE0StartTime = x
130
# In  --> EOP asserted for 2 bit time at time 70130000
131
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
132
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
133
# In  --> DataToggle is 1
134
# In  --> DataToggle is 4b at time 73374000.
135
# In  --> sending byte[1] = 00000000
136
# In  --> sending byte[2] = 00000000
137
# In  --> sending byte[3] = 00000000
138
# In  --> sending byte[4] = 00010111
139
# In  --> raw crc is 254 at time               73374
140
# In  --> sent crc is bfd5 at time               73374
141
# In  --> In task wait for response at time 79422000
142
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 79706000
143
# In  --> Decoder enabled at time 80441000 in host
144
# In  --> StopTime = x, SE0StartTime = x
145
# In  --> receive data = d2
146
# In  --> StopTime = x, SE0StartTime = x
147
# In  --> EOP asserted for 2 bit time at time 81239000
148
# In  --> bits received are 7
149
# In  --> ACK received at time 81239000.
150
# Input Address:01, EndPt:0
151
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
152
# In  CntrlTransType = 11, WRITE = 11
153
# In  --> In task wait for response at time 84462000
154
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 84851000
155
# In  --> Decoder enabled at time 85550000 in host
156
# In  --> receive data = 4b
157
# In  --> receive data = 00
158
# In  --> StopTime = x, SE0StartTime = x
159
# In  --> receive data = 00
160
# In  --> StopTime = x, SE0StartTime = x
161
# In  --> EOP asserted for 2 bit time at time 87686000
162
# CntrlTransType = 11
163
# In  --> In task wait for response at time 101514000
164
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 101798000
165
# In  --> Decoder enabled at time 102532000 in host
166
# In  --> StopTime = x, SE0StartTime = x
167
# In  --> receive data = d2
168
# In  --> StopTime = x, SE0StartTime = x
169
# In  --> EOP asserted for 2 bit time at time 103331000
170
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
171
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
172
# In  --> DataToggle is 1
173
# In  --> DataToggle is 4b at time 106554000.
174
# In  --> sending byte[1] = 00000000
175
# In  --> sending byte[2] = 00000000
176
# In  --> sending byte[3] = 00000000
177
# In  --> sending byte[4] = 00001110
178
# In  --> raw crc is 8107 at time              106554
179
# In  --> sent crc is 7e1f at time              106554
180
# In  --> In task wait for response at time 112602000
181
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 112907000
182
# In  --> Decoder enabled at time 113619000 in host
183
# In  --> StopTime = x, SE0StartTime = x
184
# In  --> receive data = d2
185
# In  --> StopTime = x, SE0StartTime = x
186
# In  --> EOP asserted for 2 bit time at time 114419000
187
# In  --> bits received are 7
188
# In  --> ACK received at time 114419000.
189
# Input Address:01, EndPt:0
190
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
191
# In  CntrlTransType = 11, WRITE = 11
192
# In  --> In task wait for response at time 117642000
193
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 118031000
194
# In  --> Decoder enabled at time 118748000 in host
195
# In  --> receive data = 4b
196
# In  --> receive data = 00
197
# In  --> StopTime = x, SE0StartTime = x
198
# In  --> receive data = 00
199
# In  --> StopTime = x, SE0StartTime = x
200
# In  --> EOP asserted for 2 bit time at time 120887000
201
#
202
# ... Writing char  36 ...
203
#
204
# ... Reading the UART Status: xxxxxxxX ...
205
# CntrlTransType = 10
206
# In  --> In task wait for response at time 134694000
207
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 134999000
208
# In  --> Decoder enabled at time 135712000 in host
209
# In  --> StopTime = x, SE0StartTime = x
210
# In  --> receive data = d2
211
# In  --> StopTime = x, SE0StartTime = x
212
# In  --> EOP asserted for 2 bit time at time 136511000
213
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
214
# CntrlTransType = 10
215
# In  --> In task wait for response at time 139650000
216
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 139955000
217
# In  --> Decoder enabled at time 140669000 in host
218
# In  --> receive data = 4b
219
# In  --> receive data = 00
220
# In  --> receive data = 00
221
# In  --> receive data = 00
222
# In  --> receive data = 02
223
# In  --> receive data = 7e
224
# In  --> StopTime = x, SE0StartTime = x
225
# In  --> receive data = 1a
226
# In  --> StopTime = x, SE0StartTime = x
227
# In  --> EOP asserted for 2 bit time at time 145562000
228
# In  --> Data toggle recevied is 1001011 at time 145646000
229
# In  --> received byte[1] = 00000000
230
# In  --> received byte[2] = 00000000
231
# In  --> received byte[3] = 00000000
232
# In  --> received byte[4] = 00000010
233
# In  --> calculated crc is 81a7 at time 145646000.
234
# In  --> received raw crc is 81a7 at time 145646000.
235
# In  --> received crc is 7e1a at time 145646000.
236
# In  --> tmpCrc 7e1a, at time 145646000
237
# In  --> sending ACK at time 147546000
238
# RecvBuffer[0]  = 00000000  : 0
239
# RecvBuffer[1]  = 00000000  : 0
240
# RecvBuffer[2]  = 00000000  : 0
241
# RecvBuffer[3]  = 00000010  : 2
242
# In  --> In task wait for response at time 154098000
243
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 154382000
244
# In  --> Decoder enabled at time 155114000 in host
245
# In  --> StopTime = x, SE0StartTime = x
246
# In  --> receive data = d2
247
# In  --> StopTime = x, SE0StartTime = x
248
# In  --> EOP asserted for 2 bit time at time 155915000
249
#
250
# ... Reading the UART Status: 00000002 ...
251
# CntrlTransType = 10
252
# In  --> In task wait for response at time 167790000
253
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 168095000
254
# In  --> Decoder enabled at time 168803000 in host
255
# In  --> StopTime = x, SE0StartTime = x
256
# In  --> receive data = d2
257
# In  --> StopTime = x, SE0StartTime = x
258
# In  --> EOP asserted for 2 bit time at time 169607000
259
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
260
# CntrlTransType = 10
261
# In  --> In task wait for response at time 172746000
262
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 173051000
263
# In  --> Decoder enabled at time 173763000 in host
264
# In  --> receive data = 4b
265
# In  --> receive data = 00
266
# In  --> receive data = 00
267
# In  --> receive data = 00
268
# In  --> receive data = 02
269
# In  --> receive data = 7e
270
# In  --> StopTime = x, SE0StartTime = x
271
# In  --> receive data = 1a
272
# In  --> StopTime = x, SE0StartTime = x
273
# In  --> EOP asserted for 2 bit time at time 178658000
274
# In  --> Data toggle recevied is 1001011 at time 178742000
275
# In  --> received byte[1] = 00000000
276
# In  --> received byte[2] = 00000000
277
# In  --> received byte[3] = 00000000
278
# In  --> received byte[4] = 00000010
279
# In  --> calculated crc is 81a7 at time 178742000.
280
# In  --> received raw crc is 81a7 at time 178742000.
281
# In  --> received crc is 7e1a at time 178742000.
282
# In  --> tmpCrc 7e1a, at time 178742000
283
# In  --> sending ACK at time 180642000
284
# RecvBuffer[0]  = 00000000  : 0
285
# RecvBuffer[1]  = 00000000  : 0
286
# RecvBuffer[2]  = 00000000  : 0
287
# RecvBuffer[3]  = 00000010  : 2
288
# ... Write data 24 to UART done cnt :          1 ...
289
#
290
#
291
# ... Writing char 129 ...
292
# In  --> In task wait for response at time 187194000
293
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 187478000
294
# In  --> Decoder enabled at time 188208000 in host
295
# In  --> StopTime = x, SE0StartTime = x
296
# In  --> receive data = d2
297
# In  --> StopTime = x, SE0StartTime = x
298
# In  --> EOP asserted for 2 bit time at time 188990000
299
#
300
# ... Reading the UART Status: 00000002 ...
301
# CntrlTransType = 10
302
# In  --> In task wait for response at time 200886000
303
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 201170000
304
# In  --> Decoder enabled at time 201897000 in host
305
# In  --> StopTime = x, SE0StartTime = x
306
# In  --> receive data = d2
307
# In  --> StopTime = x, SE0StartTime = x
308
# In  --> EOP asserted for 2 bit time at time 202682000
309
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
310
# CntrlTransType = 10
311
# In  --> In task wait for response at time 205842000
312
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 206210000
313
# In  --> Decoder enabled at time 206943000 in host
314
# In  --> receive data = 4b
315
# In  --> receive data = 00
316
# In  --> receive data = 00
317
# In  --> receive data = 00
318
# In  --> receive data = 00
319
# In  --> receive data = ff
320
# In  --> StopTime = x, SE0StartTime = x
321
# In  --> receive data = db
322
# In  --> StopTime = x, SE0StartTime = x
323
# In  --> EOP asserted for 2 bit time at time 211838000
324
# In  --> Data toggle recevied is 1001011 at time 211922000
325
# In  --> received byte[1] = 00000000
326
# In  --> received byte[2] = 00000000
327
# In  --> received byte[3] = 00000000
328
# In  --> received byte[4] = 00000000
329
# In  --> calculated crc is 24 at time 211922000.
330
# In  --> received raw crc is 24 at time 211922000.
331
# In  --> received crc is ffdb at time 211922000.
332
# In  --> tmpCrc ffdb, at time 211922000
333
# In  --> sending ACK at time 213822000
334
# RecvBuffer[0]  = 00000000  : 0
335
# RecvBuffer[1]  = 00000000  : 0
336
# RecvBuffer[2]  = 00000000  : 0
337
# RecvBuffer[3]  = 00000000  : 0
338
# In  --> In task wait for response at time 220374000
339
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 220658000
340
# In  --> Decoder enabled at time 221385000 in host
341
# In  --> StopTime = x, SE0StartTime = x
342
# In  --> receive data = d2
343
# In  --> StopTime = x, SE0StartTime = x
344
# In  --> EOP asserted for 2 bit time at time 222170000
345
# CntrlTransType = 10
346
# In  --> In task wait for response at time 234066000
347
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 234350000
348
# In  --> Decoder enabled at time 235077000 in host
349
# In  --> StopTime = x, SE0StartTime = x
350
# In  --> receive data = d2
351
# In  --> StopTime = x, SE0StartTime = x
352
# In  --> EOP asserted for 2 bit time at time 235862000
353
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
354
# CntrlTransType = 10
355
# In  --> In task wait for response at time 239022000
356
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 239390000
357
# In  --> Decoder enabled at time 240117000 in host
358
# In  --> receive data = 4b
359
# In  --> receive data = 00
360
# In  --> receive data = 00
361
# In  --> receive data = 00
362
# In  --> receive data = 24
363
# In  --> receive data = ff
364
# In  --> StopTime = x, SE0StartTime = x
365
# In  --> receive data = c0
366
# In  --> StopTime = x, SE0StartTime = x
367
# In  --> EOP asserted for 2 bit time at time 244997000
368
# In  --> Data toggle recevied is 1001011 at time 245081000
369
# In  --> received byte[1] = 00000000
370
# In  --> received byte[2] = 00000000
371
# In  --> received byte[3] = 00000000
372
# In  --> received byte[4] = 00100100
373
# In  --> calculated crc is fc at time 245081000.
374
# In  --> received raw crc is fc at time 245081000.
375
# In  --> received crc is ffc0 at time 245081000.
376
# In  --> tmpCrc ffc0, at time 245081000
377
# ... Write data 81 to UART done cnt :          2 ...
378
#
379
#
380
# ... Writing char   9 ...
381
# In  --> sending ACK at time 247002000
382
# RecvBuffer[0]  = 00000000  : 0
383
# RecvBuffer[1]  = 00000000  : 0
384
# RecvBuffer[2]  = 00000000  : 0
385
# RecvBuffer[3]  = 00100100  : 36
386
# In  --> In task wait for response at time 253554000
387
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 253859000
388
# In  --> Decoder enabled at time 254560000 in host
389
# In  --> StopTime = x, SE0StartTime = x
390
# In  --> receive data = d2
391
# In  --> StopTime = x, SE0StartTime = x
392
# In  --> EOP asserted for 2 bit time at time 255371000
393
# CntrlTransType = 11
394
# In  --> In task wait for response at time 267246000
395
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 267551000
396
# In  --> Decoder enabled at time 268251000 in host
397
# In  --> StopTime = x, SE0StartTime = x
398
# In  --> receive data = d2
399
# In  --> StopTime = x, SE0StartTime = x
400
# In  --> EOP asserted for 2 bit time at time 269063000
401
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
402
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
403
# In  --> DataToggle is 1
404
# In  --> DataToggle is 4b at time 272286000.
405
# In  --> sending byte[1] = 00000000
406
# In  --> sending byte[2] = 00000000
407
# In  --> sending byte[3] = 00000000
408
# In  --> sending byte[4] = 00100100
409
# In  --> raw crc is fc at time              272286
410
# In  --> sent crc is ffc0 at time              272286
411
# In  --> In task wait for response at time 278334000
412
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 278639000
413
# In  --> Decoder enabled at time 279341000 in host
414
# In  --> StopTime = x, SE0StartTime = x
415
# In  --> receive data = d2
416
# In  --> StopTime = x, SE0StartTime = x
417
# In  --> EOP asserted for 2 bit time at time 280151000
418
# In  --> bits received are 7
419
# In  --> ACK received at time 280151000.
420
# Input Address:01, EndPt:0
421
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
422
# In  CntrlTransType = 11, WRITE = 11
423
# In  --> In task wait for response at time 283374000
424
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 283763000
425
# In  --> Decoder enabled at time 284465000 in host
426
# In  --> receive data = 4b
427
# In  --> receive data = 00
428
# In  --> StopTime = x, SE0StartTime = x
429
# In  --> receive data = 00
430
# In  --> StopTime = x, SE0StartTime = x
431
# In  --> EOP asserted for 2 bit time at time 286598000
432
#
433
# ... Reading the UART Status: 00000026 ...
434
# CntrlTransType = 10
435
# In  --> In task wait for response at time 300426000
436
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 300710000
437
# In  --> Decoder enabled at time 301429000 in host
438
# In  --> StopTime = x, SE0StartTime = x
439
# In  --> receive data = d2
440
# In  --> StopTime = x, SE0StartTime = x
441
# In  --> EOP asserted for 2 bit time at time 302222000
442
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
443
# CntrlTransType = 10
444
# In  --> In task wait for response at time 305382000
445
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 305750000
446
# In  --> Decoder enabled at time 306475000 in host
447
# In  --> receive data = 4b
448
# In  --> receive data = 00
449
# In  --> receive data = 00
450
# In  --> receive data = 00
451
# ... Write data 09 to UART done cnt :          3 ...
452
#
453
#
454
# ... Writing char  99 ...
455
# In  --> receive data = 00
456
# In  --> receive data = ff
457
# In  --> StopTime = x, SE0StartTime = x
458
# In  --> receive data = db
459
# In  --> StopTime = x, SE0StartTime = x
460
# In  --> EOP asserted for 2 bit time at time 311357000
461
# In  --> Data toggle recevied is 1001011 at time 311441000
462
# In  --> received byte[1] = 00000000
463
# In  --> received byte[2] = 00000000
464
# In  --> received byte[3] = 00000000
465
# In  --> received byte[4] = 00000000
466
# In  --> calculated crc is 24 at time 311441000.
467
# In  --> received raw crc is 24 at time 311441000.
468
# In  --> received crc is ffdb at time 311441000.
469
# In  --> tmpCrc ffdb, at time 311441000
470
# In  --> sending ACK at time 313362000
471
# RecvBuffer[0]  = 00000000  : 0
472
# RecvBuffer[1]  = 00000000  : 0
473
# RecvBuffer[2]  = 00000000  : 0
474
# RecvBuffer[3]  = 00000000  : 0
475
# In  --> In task wait for response at time 319914000
476
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 320219000
477
# In  --> Decoder enabled at time 320917000 in host
478
# In  --> StopTime = x, SE0StartTime = x
479
# In  --> receive data = d2
480
# In  --> StopTime = x, SE0StartTime = x
481
# In  --> EOP asserted for 2 bit time at time 321710000
482
# CntrlTransType = 10
483
# In  --> In task wait for response at time 333606000
484
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 333890000
485
# In  --> Decoder enabled at time 334609000 in host
486
# In  --> StopTime = x, SE0StartTime = x
487
# In  --> receive data = d2
488
# In  --> StopTime = x, SE0StartTime = x
489
# In  --> EOP asserted for 2 bit time at time 335402000
490
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
491
# CntrlTransType = 10
492
# In  --> In task wait for response at time 338562000
493
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 338930000
494
# In  --> Decoder enabled at time 339652000 in host
495
# In  --> receive data = 4b
496
# In  --> receive data = 00
497
# In  --> receive data = 00
498
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  24
499
# ... Read Data from UART done cnt :         1...
500
# In  --> receive data = 00
501
# In  --> receive data = 81
502
# In  --> receive data = 3f
503
# In  --> StopTime = x, SE0StartTime = x
504
# In  --> receive data = bb
505
# In  --> StopTime = x, SE0StartTime = x
506
# In  --> EOP asserted for 2 bit time at time 344537000
507
# In  --> Data toggle recevied is 1001011 at time 344621000
508
# In  --> received byte[1] = 00000000
509
# In  --> received byte[2] = 00000000
510
# In  --> received byte[3] = 00000000
511
# In  --> received byte[4] = 10000001
512
# In  --> calculated crc is 322 at time 344621000.
513
# In  --> received raw crc is 322 at time 344621000.
514
# In  --> received crc is 3fbb at time 344621000.
515
# In  --> tmpCrc 3fbb, at time 344621000
516
# In  --> sending ACK at time 346542000
517
# RecvBuffer[0]  = 00000000  : 0
518
# RecvBuffer[1]  = 00000000  : 0
519
# RecvBuffer[2]  = 00000000  : 0
520
# RecvBuffer[3]  = 10000001  : 129
521
# In  --> In task wait for response at time 353094000
522
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 353399000
523
# In  --> Decoder enabled at time 354115000 in host
524
# In  --> StopTime = x, SE0StartTime = x
525
# In  --> receive data = d2
526
# In  --> StopTime = x, SE0StartTime = x
527
# In  --> EOP asserted for 2 bit time at time 354911000
528
# CntrlTransType = 11
529
# In  --> In task wait for response at time 366786000
530
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 367091000
531
# In  --> Decoder enabled at time 367807000 in host
532
# In  --> StopTime = x, SE0StartTime = x
533
# In  --> receive data = d2
534
# In  --> StopTime = x, SE0StartTime = x
535
# In  --> EOP asserted for 2 bit time at time 368603000
536
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
537
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
538
# In  --> DataToggle is 1
539
# In  --> DataToggle is 4b at time 371826000.
540
# In  --> sending byte[1] = 00000000
541
# In  --> sending byte[2] = 00000000
542
# In  --> sending byte[3] = 00000000
543
# In  --> sending byte[4] = 10000001
544
# In  --> raw crc is 322 at time              371826
545
# In  --> sent crc is 3fbb at time              371826
546
# ... Write data 63 to UART done cnt :          4 ...
547
#
548
#
549
# ... Writing char  13 ...
550
# In  --> In task wait for response at time 377874000
551
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 378179000
552
# In  --> Decoder enabled at time 378894000 in host
553
# In  --> StopTime = x, SE0StartTime = x
554
# In  --> receive data = d2
555
# In  --> StopTime = x, SE0StartTime = x
556
# In  --> EOP asserted for 2 bit time at time 379691000
557
# In  --> bits received are 7
558
# In  --> ACK received at time 379691000.
559
# Input Address:01, EndPt:0
560
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
561
# In  CntrlTransType = 11, WRITE = 11
562
# In  --> In task wait for response at time 382914000
563
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 383303000
564
# In  --> Decoder enabled at time 384018000 in host
565
# In  --> receive data = 4b
566
# In  --> receive data = 00
567
# In  --> StopTime = x, SE0StartTime = x
568
# In  --> receive data = 00
569
# In  --> StopTime = x, SE0StartTime = x
570
# In  --> EOP asserted for 2 bit time at time 386159000
571
#
572
# ... Reading the UART Status: 00000083 ...
573
# CntrlTransType = 10
574
# In  --> In task wait for response at time 399966000
575
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 400271000
576
# In  --> Decoder enabled at time 400981000 in host
577
# In  --> StopTime = x, SE0StartTime = x
578
# In  --> receive data = d2
579
# In  --> StopTime = x, SE0StartTime = x
580
# In  --> EOP asserted for 2 bit time at time 401783000
581
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
582
# CntrlTransType = 10
583
# In  --> In task wait for response at time 404922000
584
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 405311000
585
# In  --> Decoder enabled at time 406025000 in host
586
# In  --> receive data = 4b
587
# In  --> receive data = 00
588
# In  --> receive data = 00
589
# In  --> receive data = 00
590
# In  --> receive data = 00
591
# In  --> receive data = ff
592
# In  --> StopTime = x, SE0StartTime = x
593
# In  --> receive data = db
594
# In  --> StopTime = x, SE0StartTime = x
595
# In  --> EOP asserted for 2 bit time at time 410918000
596
# In  --> Data toggle recevied is 1001011 at time 411002000
597
# In  --> received byte[1] = 00000000
598
# In  --> received byte[2] = 00000000
599
# In  --> received byte[3] = 00000000
600
# In  --> received byte[4] = 00000000
601
# In  --> calculated crc is 24 at time 411002000.
602
# In  --> received raw crc is 24 at time 411002000.
603
# In  --> received crc is ffdb at time 411002000.
604
# In  --> tmpCrc ffdb, at time 411002000
605
# In  --> sending ACK at time 412902000
606
# RecvBuffer[0]  = 00000000  : 0
607
# RecvBuffer[1]  = 00000000  : 0
608
# RecvBuffer[2]  = 00000000  : 0
609
# RecvBuffer[3]  = 00000000  : 0
610
# In  --> In task wait for response at time 419454000
611
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 419738000
612
# In  --> Decoder enabled at time 420467000 in host
613
# In  --> StopTime = x, SE0StartTime = x
614
# In  --> receive data = d2
615
# In  --> StopTime = x, SE0StartTime = x
616
# In  --> EOP asserted for 2 bit time at time 421271000
617
# CntrlTransType = 10
618
# In  --> In task wait for response at time 433146000
619
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 433451000
620
# In  --> Decoder enabled at time 434159000 in host
621
# In  --> StopTime = x, SE0StartTime = x
622
# In  --> receive data = d2
623
# In  --> StopTime = x, SE0StartTime = x
624
# In  --> EOP asserted for 2 bit time at time 434963000
625
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
626
# CntrlTransType = 10
627
# ... Write data 0d to UART done cnt :          5 ...
628
#
629
#
630
# ... Writing char 141 ...
631
# In  --> In task wait for response at time 438102000
632
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 438491000
633
# In  --> Decoder enabled at time 439202000 in host
634
# In  --> receive data = 4b
635
# In  --> receive data = 00
636
# In  --> receive data = 00
637
# In  --> receive data = 00
638
# In  --> receive data = 09
639
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  81
640
# ... Read Data from UART done cnt :         2...
641
# In  --> receive data = 3f
642
# In  --> StopTime = x, SE0StartTime = x
643
# In  --> receive data = dd
644
# In  --> StopTime = x, SE0StartTime = x
645
# In  --> EOP asserted for 2 bit time at time 444098000
646
# In  --> Data toggle recevied is 1001011 at time 444182000
647
# In  --> received byte[1] = 00000000
648
# In  --> received byte[2] = 00000000
649
# In  --> received byte[3] = 00000000
650
# In  --> received byte[4] = 00001001
651
# In  --> calculated crc is 344 at time 444182000.
652
# In  --> received raw crc is 344 at time 444182000.
653
# In  --> received crc is 3fdd at time 444182000.
654
# In  --> tmpCrc 3fdd, at time 444182000
655
# In  --> sending ACK at time 446082000
656
# RecvBuffer[0]  = 00000000  : 0
657
# RecvBuffer[1]  = 00000000  : 0
658
# RecvBuffer[2]  = 00000000  : 0
659
# RecvBuffer[3]  = 00001001  : 9
660
# In  --> In task wait for response at time 452634000
661
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 452918000
662
# In  --> Decoder enabled at time 453647000 in host
663
# In  --> StopTime = x, SE0StartTime = x
664
# In  --> receive data = d2
665
# In  --> StopTime = x, SE0StartTime = x
666
# In  --> EOP asserted for 2 bit time at time 454430000
667
# CntrlTransType = 11
668
# In  --> In task wait for response at time 466326000
669
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 466610000
670
# In  --> Decoder enabled at time 467336000 in host
671
# In  --> StopTime = x, SE0StartTime = x
672
# In  --> receive data = d2
673
# In  --> StopTime = x, SE0StartTime = x
674
# In  --> EOP asserted for 2 bit time at time 468122000
675
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
676
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
677
# In  --> DataToggle is 1
678
# In  --> DataToggle is 4b at time 471366000.
679
# In  --> sending byte[1] = 00000000
680
# In  --> sending byte[2] = 00000000
681
# In  --> sending byte[3] = 00000000
682
# In  --> sending byte[4] = 00001001
683
# In  --> raw crc is 344 at time              471366
684
# In  --> sent crc is 3fdd at time              471366
685
# In  --> In task wait for response at time 477414000
686
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 477698000
687
# In  --> Decoder enabled at time 478423000 in host
688
# In  --> StopTime = x, SE0StartTime = x
689
# In  --> receive data = d2
690
# In  --> StopTime = x, SE0StartTime = x
691
# In  --> EOP asserted for 2 bit time at time 479210000
692
# In  --> bits received are 7
693
# In  --> ACK received at time 479210000.
694
# Input Address:01, EndPt:0
695
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
696
# In  CntrlTransType = 11, WRITE = 11
697
# In  --> In task wait for response at time 482454000
698
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 482822000
699
# In  --> Decoder enabled at time 483549000 in host
700
# In  --> receive data = 4b
701
# In  --> receive data = 00
702
# In  --> StopTime = x, SE0StartTime = x
703
# In  --> receive data = 00
704
# In  --> StopTime = x, SE0StartTime = x
705
# In  --> EOP asserted for 2 bit time at time 485678000
706
#
707
# ... Reading the UART Status: 0000000b ...
708
# CntrlTransType = 10
709
# In  --> In task wait for response at time 499506000
710
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 499790000
711
# In  --> Decoder enabled at time 500516000 in host
712
# In  --> StopTime = x, SE0StartTime = x
713
# In  --> receive data = d2
714
# In  --> StopTime = x, SE0StartTime = x
715
# In  --> EOP asserted for 2 bit time at time 501302000
716
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
717
# CntrlTransType = 10
718
# ... Write data 8d to UART done cnt :          6 ...
719
#
720
#
721
# ... Writing char 101 ...
722
# In  --> In task wait for response at time 504462000
723
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 504830000
724
# In  --> Decoder enabled at time 505556000 in host
725
# In  --> receive data = 4b
726
# In  --> receive data = 00
727
# In  --> receive data = 00
728
# In  --> receive data = 00
729
# In  --> receive data = 00
730
# In  --> receive data = ff
731
# In  --> StopTime = x, SE0StartTime = x
732
# In  --> receive data = db
733
# In  --> StopTime = x, SE0StartTime = x
734
# In  --> EOP asserted for 2 bit time at time 510437000
735
# In  --> Data toggle recevied is 1001011 at time 510521000
736
# In  --> received byte[1] = 00000000
737
# In  --> received byte[2] = 00000000
738
# In  --> received byte[3] = 00000000
739
# In  --> received byte[4] = 00000000
740
# In  --> calculated crc is 24 at time 510521000.
741
# In  --> received raw crc is 24 at time 510521000.
742
# In  --> received crc is ffdb at time 510521000.
743
# In  --> tmpCrc ffdb, at time 510521000
744
# In  --> sending ACK at time 512442000
745
# RecvBuffer[0]  = 00000000  : 0
746
# RecvBuffer[1]  = 00000000  : 0
747
# RecvBuffer[2]  = 00000000  : 0
748
# RecvBuffer[3]  = 00000000  : 0
749
# In  --> In task wait for response at time 518994000
750
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 519299000
751
# In  --> Decoder enabled at time 519999000 in host
752
# In  --> StopTime = x, SE0StartTime = x
753
# In  --> receive data = d2
754
# In  --> StopTime = x, SE0StartTime = x
755
# In  --> EOP asserted for 2 bit time at time 520811000
756
# CntrlTransType = 10
757
# In  --> In task wait for response at time 532686000
758
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 532991000
759
# In  --> Decoder enabled at time 533690000 in host
760
# In  --> StopTime = x, SE0StartTime = x
761
# In  --> receive data = d2
762
# In  --> StopTime = x, SE0StartTime = x
763
# In  --> EOP asserted for 2 bit time at time 534503000
764
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
765
# CntrlTransType = 10
766
# In  --> In task wait for response at time 537642000
767
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 538031000
768
# In  --> Decoder enabled at time 538734000 in host
769
# In  --> receive data = 4b
770
# In  --> receive data = 00
771
# In  --> receive data = 00
772
# In  --> receive data = 00
773
# In  --> receive data = 63
774
# In  --> receive data = bf
775
# In  --> StopTime = x, SE0StartTime = x
776
# In  --> receive data = f2
777
# In  --> StopTime = x, SE0StartTime = x
778
# In  --> EOP asserted for 2 bit time at time 543617000
779
# In  --> Data toggle recevied is 1001011 at time 543701000
780
# In  --> received byte[1] = 00000000
781
# In  --> received byte[2] = 00000000
782
# In  --> received byte[3] = 00000000
783
# In  --> received byte[4] = 01100011
784
# In  --> calculated crc is 2b0 at time 543701000.
785
# In  --> received raw crc is 2b0 at time 543701000.
786
# In  --> received crc is bff2 at time 543701000.
787
# In  --> tmpCrc bff2, at time 543701000
788
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  09
789
# ... Read Data from UART done cnt :         3...
790
# In  --> sending ACK at time 545622000
791
# RecvBuffer[0]  = 00000000  : 0
792
# RecvBuffer[1]  = 00000000  : 0
793
# RecvBuffer[2]  = 00000000  : 0
794
# RecvBuffer[3]  = 01100011  : 99
795
# In  --> In task wait for response at time 552174000
796
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 552479000
797
# In  --> Decoder enabled at time 553176000 in host
798
# In  --> StopTime = x, SE0StartTime = x
799
# In  --> receive data = d2
800
# In  --> StopTime = x, SE0StartTime = x
801
# In  --> EOP asserted for 2 bit time at time 553970000
802
# ... Write data 65 to UART done cnt :          7 ...
803
#
804
#
805
# ... Writing char  18 ...
806
# CntrlTransType = 11
807
# In  --> In task wait for response at time 565866000
808
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 566150000
809
# In  --> Decoder enabled at time 566868000 in host
810
# In  --> StopTime = x, SE0StartTime = x
811
# In  --> receive data = d2
812
# In  --> StopTime = x, SE0StartTime = x
813
# In  --> EOP asserted for 2 bit time at time 567662000
814
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
815
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
816
# In  --> DataToggle is 1
817
# In  --> DataToggle is 4b at time 570906000.
818
# In  --> sending byte[1] = 00000000
819
# In  --> sending byte[2] = 00000000
820
# In  --> sending byte[3] = 00000000
821
# In  --> sending byte[4] = 01100011
822
# In  --> raw crc is 2b0 at time              570906
823
# In  --> sent crc is bff2 at time              570906
824
# In  --> In task wait for response at time 576954000
825
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 577238000
826
# In  --> Decoder enabled at time 577955000 in host
827
# In  --> StopTime = x, SE0StartTime = x
828
# In  --> receive data = d2
829
# In  --> StopTime = x, SE0StartTime = x
830
# In  --> EOP asserted for 2 bit time at time 578750000
831
# In  --> bits received are 7
832
# In  --> ACK received at time 578750000.
833
# Input Address:01, EndPt:0
834
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
835
# In  CntrlTransType = 11, WRITE = 11
836
# In  --> In task wait for response at time 581994000
837
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 582362000
838
# In  --> Decoder enabled at time 583084000 in host
839
# In  --> receive data = 4b
840
# In  --> receive data = 00
841
# In  --> StopTime = x, SE0StartTime = x
842
# In  --> receive data = 00
843
# In  --> StopTime = x, SE0StartTime = x
844
# In  --> EOP asserted for 2 bit time at time 585218000
845
#
846
# ... Reading the UART Status: 00000063 ...
847
# CntrlTransType = 10
848
# In  --> In task wait for response at time 599046000
849
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 599330000
850
# In  --> Decoder enabled at time 600066000 in host
851
# In  --> StopTime = x, SE0StartTime = x
852
# In  --> receive data = d2
853
# In  --> StopTime = x, SE0StartTime = x
854
# In  --> EOP asserted for 2 bit time at time 600863000
855
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
856
# CntrlTransType = 10
857
# In  --> In task wait for response at time 604002000
858
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 604391000
859
# In  --> Decoder enabled at time 605088000 in host
860
# In  --> receive data = 4b
861
# In  --> receive data = 00
862
# In  --> receive data = 00
863
# In  --> receive data = 00
864
# In  --> receive data = 00
865
# In  --> receive data = ff
866
# In  --> StopTime = x, SE0StartTime = x
867
# In  --> receive data = db
868
# In  --> StopTime = x, SE0StartTime = x
869
# In  --> EOP asserted for 2 bit time at time 609977000
870
# In  --> Data toggle recevied is 1001011 at time 610061000
871
# In  --> received byte[1] = 00000000
872
# In  --> received byte[2] = 00000000
873
# In  --> received byte[3] = 00000000
874
# In  --> received byte[4] = 00000000
875
# In  --> calculated crc is 24 at time 610061000.
876
# In  --> received raw crc is 24 at time 610061000.
877
# In  --> received crc is ffdb at time 610061000.
878
# In  --> tmpCrc ffdb, at time 610061000
879
# In  --> sending ACK at time 611982000
880
# RecvBuffer[0]  = 00000000  : 0
881
# RecvBuffer[1]  = 00000000  : 0
882
# RecvBuffer[2]  = 00000000  : 0
883
# RecvBuffer[3]  = 00000000  : 0
884
# In  --> In task wait for response at time 618534000
885
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 618839000
886
# In  --> Decoder enabled at time 619554000 in host
887
# In  --> StopTime = x, SE0StartTime = x
888
# In  --> receive data = d2
889
# In  --> StopTime = x, SE0StartTime = x
890
# In  --> EOP asserted for 2 bit time at time 620351000
891
# ... Write data 12 to UART done cnt :          8 ...
892
#
893
#
894
# ... Writing char   1 ...
895
# CntrlTransType = 10
896
# In  --> In task wait for response at time 632226000
897
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 632531000
898
# In  --> Decoder enabled at time 633246000 in host
899
# In  --> StopTime = x, SE0StartTime = x
900
# In  --> receive data = d2
901
# In  --> StopTime = x, SE0StartTime = x
902
# In  --> EOP asserted for 2 bit time at time 634043000
903
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
904
# CntrlTransType = 10
905
# In  --> In task wait for response at time 637182000
906
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 637571000
907
# In  --> Decoder enabled at time 638266000 in host
908
# In  --> receive data = 4b
909
# In  --> receive data = 00
910
# In  --> receive data = 00
911
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  63
912
# ... Read Data from UART done cnt :         4...
913
# In  --> receive data = 00
914
# In  --> receive data = 0d
915
# In  --> receive data = 3e
916
# In  --> StopTime = x, SE0StartTime = x
917
# In  --> receive data = 1e
918
# In  --> StopTime = x, SE0StartTime = x
919
# In  --> EOP asserted for 2 bit time at time 643073000
920
# In  --> Data toggle recevied is 1001011 at time 643157000
921
# In  --> received byte[1] = 00000000
922
# In  --> received byte[2] = 00000000
923
# In  --> received byte[3] = 00000000
924
# In  --> received byte[4] = 00001101
925
# In  --> calculated crc is 8387 at time 643157000.
926
# In  --> received raw crc is 8387 at time 643157000.
927
# In  --> received crc is 3e1e at time 643157000.
928
# In  --> tmpCrc 3e1e, at time 643157000
929
# In  --> sending ACK at time 645078000
930
# RecvBuffer[0]  = 00000000  : 0
931
# RecvBuffer[1]  = 00000000  : 0
932
# RecvBuffer[2]  = 00000000  : 0
933
# RecvBuffer[3]  = 00001101  : 13
934
# In  --> In task wait for response at time 651630000
935
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 651935000
936
# In  --> Decoder enabled at time 652648000 in host
937
# In  --> StopTime = x, SE0StartTime = x
938
# In  --> receive data = d2
939
# In  --> StopTime = x, SE0StartTime = x
940
# In  --> EOP asserted for 2 bit time at time 653447000
941
# CntrlTransType = 11
942
# In  --> In task wait for response at time 665322000
943
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 665627000
944
# In  --> Decoder enabled at time 666340000 in host
945
# In  --> StopTime = x, SE0StartTime = x
946
# In  --> receive data = d2
947
# In  --> StopTime = x, SE0StartTime = x
948
# In  --> EOP asserted for 2 bit time at time 667139000
949
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
950
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
951
# In  --> DataToggle is 1
952
# In  --> DataToggle is 4b at time 670362000.
953
# In  --> sending byte[1] = 00000000
954
# In  --> sending byte[2] = 00000000
955
# In  --> sending byte[3] = 00000000
956
# In  --> sending byte[4] = 00001101
957
# In  --> raw crc is 8387 at time              670362
958
# In  --> sent crc is 3e1e at time              670362
959
# In  --> In task wait for response at time 676326000
960
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 676631000
961
# In  --> Decoder enabled at time 677341000 in host
962
# In  --> StopTime = x, SE0StartTime = x
963
# In  --> receive data = d2
964
# In  --> StopTime = x, SE0StartTime = x
965
# In  --> EOP asserted for 2 bit time at time 678143000
966
# In  --> bits received are 7
967
# In  --> ACK received at time 678143000.
968
# Input Address:01, EndPt:0
969
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
970
# In  CntrlTransType = 11, WRITE = 11
971
# In  --> In task wait for response at time 681366000
972
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 681671000
973
# In  --> Decoder enabled at time 682384000 in host
974
# In  --> receive data = 4b
975
# In  --> receive data = 00
976
# In  --> StopTime = x, SE0StartTime = x
977
# In  --> receive data = 00
978
# In  --> StopTime = x, SE0StartTime = x
979
# In  --> EOP asserted for 2 bit time at time 684527000
980
#
981
# ... Reading the UART Status: 0000000f ...
982
# ... Write data 01 to UART done cnt :          9 ...
983
#
984
#
985
# ... Writing char  13 ...
986
# CntrlTransType = 10
987
# In  --> In task wait for response at time 698334000
988
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 698639000
989
# In  --> Decoder enabled at time 699348000 in host
990
# In  --> StopTime = x, SE0StartTime = x
991
# In  --> receive data = d2
992
# In  --> StopTime = x, SE0StartTime = x
993
# In  --> EOP asserted for 2 bit time at time 700151000
994
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
995
# CntrlTransType = 10
996
# In  --> In task wait for response at time 703290000
997
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 703595000
998
# In  --> Decoder enabled at time 704308000 in host
999
# In  --> receive data = 4b
1000
# In  --> receive data = 00
1001
# In  --> receive data = 00
1002
# In  --> receive data = 00
1003
# In  --> receive data = 00
1004
# In  --> receive data = ff
1005
# In  --> StopTime = x, SE0StartTime = x
1006
# In  --> receive data = db
1007
# In  --> StopTime = x, SE0StartTime = x
1008
# In  --> EOP asserted for 2 bit time at time 709202000
1009
# In  --> Data toggle recevied is 1001011 at time 709286000
1010
# In  --> received byte[1] = 00000000
1011
# In  --> received byte[2] = 00000000
1012
# In  --> received byte[3] = 00000000
1013
# In  --> received byte[4] = 00000000
1014
# In  --> calculated crc is 24 at time 709286000.
1015
# In  --> received raw crc is 24 at time 709286000.
1016
# In  --> received crc is ffdb at time 709286000.
1017
# In  --> tmpCrc ffdb, at time 709286000
1018
# In  --> sending ACK at time 711186000
1019
# RecvBuffer[0]  = 00000000  : 0
1020
# RecvBuffer[1]  = 00000000  : 0
1021
# RecvBuffer[2]  = 00000000  : 0
1022
# RecvBuffer[3]  = 00000000  : 0
1023
# In  --> In task wait for response at time 717738000
1024
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 718022000
1025
# In  --> Decoder enabled at time 718750000 in host
1026
# In  --> StopTime = x, SE0StartTime = x
1027
# In  --> receive data = d2
1028
# In  --> StopTime = x, SE0StartTime = x
1029
# In  --> EOP asserted for 2 bit time at time 719534000
1030
# CntrlTransType = 10
1031
# In  --> In task wait for response at time 731430000
1032
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 731714000
1033
# In  --> Decoder enabled at time 732442000 in host
1034
# In  --> StopTime = x, SE0StartTime = x
1035
# In  --> receive data = d2
1036
# In  --> StopTime = x, SE0StartTime = x
1037
# In  --> EOP asserted for 2 bit time at time 733226000
1038
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1039
# CntrlTransType = 10
1040
# In  --> In task wait for response at time 736386000
1041
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 736754000
1042
# In  --> Decoder enabled at time 737485000 in host
1043
# In  --> receive data = 4b
1044
# In  --> receive data = 00
1045
# In  --> receive data = 00
1046
# In  --> receive data = 00
1047
# In  --> receive data = 8d
1048
# In  --> receive data = 3f
1049
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  0d
1050
# ... Read Data from UART done cnt :         5...
1051
# In  --> StopTime = x, SE0StartTime = x
1052
# In  --> receive data = be
1053
# In  --> StopTime = x, SE0StartTime = x
1054
# In  --> EOP asserted for 2 bit time at time 742382000
1055
# In  --> Data toggle recevied is 1001011 at time 742466000
1056
# In  --> received byte[1] = 00000000
1057
# In  --> received byte[2] = 00000000
1058
# In  --> received byte[3] = 00000000
1059
# In  --> received byte[4] = 10001101
1060
# In  --> calculated crc is 382 at time 742466000.
1061
# In  --> received raw crc is 382 at time 742466000.
1062
# In  --> received crc is 3fbe at time 742466000.
1063
# In  --> tmpCrc 3fbe, at time 742466000
1064
# In  --> sending ACK at time 744366000
1065
# RecvBuffer[0]  = 00000000  : 0
1066
# RecvBuffer[1]  = 00000000  : 0
1067
# RecvBuffer[2]  = 00000000  : 0
1068
# RecvBuffer[3]  = 10001101  : 141
1069
# In  --> In task wait for response at time 750918000
1070
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 751202000
1071
# In  --> Decoder enabled at time 751930000 in host
1072
# In  --> StopTime = x, SE0StartTime = x
1073
# In  --> receive data = d2
1074
# In  --> StopTime = x, SE0StartTime = x
1075
# In  --> EOP asserted for 2 bit time at time 752714000
1076
# ... Write data 0d to UART done cnt :         10 ...
1077
#
1078
#
1079
# ... Writing char 118 ...
1080
# CntrlTransType = 11
1081
# In  --> In task wait for response at time 764610000
1082
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 764894000
1083
# In  --> Decoder enabled at time 765619000 in host
1084
# In  --> StopTime = x, SE0StartTime = x
1085
# In  --> receive data = d2
1086
# In  --> StopTime = x, SE0StartTime = x
1087
# In  --> EOP asserted for 2 bit time at time 766406000
1088
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1089
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
1090
# In  --> DataToggle is 1
1091
# In  --> DataToggle is 4b at time 769650000.
1092
# In  --> sending byte[1] = 00000000
1093
# In  --> sending byte[2] = 00000000
1094
# In  --> sending byte[3] = 00000000
1095
# In  --> sending byte[4] = 10001101
1096
# In  --> raw crc is 382 at time              769650
1097
# In  --> sent crc is 3fbe at time              769650
1098
# In  --> In task wait for response at time 775698000
1099
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 775982000
1100
# In  --> Decoder enabled at time 776706000 in host
1101
# In  --> StopTime = x, SE0StartTime = x
1102
# In  --> receive data = d2
1103
# In  --> StopTime = x, SE0StartTime = x
1104
# In  --> EOP asserted for 2 bit time at time 777494000
1105
# In  --> bits received are 7
1106
# In  --> ACK received at time 777494000.
1107
# Input Address:01, EndPt:0
1108
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
1109
# In  CntrlTransType = 11, WRITE = 11
1110
# In  --> In task wait for response at time 780738000
1111
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 781106000
1112
# In  --> Decoder enabled at time 781832000 in host
1113
# In  --> receive data = 4b
1114
# In  --> receive data = 00
1115
# In  --> StopTime = x, SE0StartTime = x
1116
# In  --> receive data = 00
1117
# In  --> StopTime = x, SE0StartTime = x
1118
# In  --> EOP asserted for 2 bit time at time 783962000
1119
#
1120
# ... Reading the UART Status: 0000008f ...
1121
# CntrlTransType = 10
1122
# In  --> In task wait for response at time 797790000
1123
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 798074000
1124
# In  --> Decoder enabled at time 798799000 in host
1125
# In  --> StopTime = x, SE0StartTime = x
1126
# In  --> receive data = d2
1127
# In  --> StopTime = x, SE0StartTime = x
1128
# In  --> EOP asserted for 2 bit time at time 799586000
1129
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1130
# CntrlTransType = 10
1131
# In  --> In task wait for response at time 802746000
1132
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 803114000
1133
# In  --> Decoder enabled at time 803839000 in host
1134
# In  --> receive data = 4b
1135
# In  --> receive data = 00
1136
# In  --> receive data = 00
1137
# In  --> receive data = 00
1138
# In  --> receive data = 00
1139
# In  --> receive data = ff
1140
# In  --> StopTime = x, SE0StartTime = x
1141
# In  --> receive data = db
1142
# In  --> StopTime = x, SE0StartTime = x
1143
# In  --> EOP asserted for 2 bit time at time 808721000
1144
# In  --> Data toggle recevied is 1001011 at time 808805000
1145
# In  --> received byte[1] = 00000000
1146
# In  --> received byte[2] = 00000000
1147
# In  --> received byte[3] = 00000000
1148
# In  --> received byte[4] = 00000000
1149
# In  --> calculated crc is 24 at time 808805000.
1150
# In  --> received raw crc is 24 at time 808805000.
1151
# In  --> received crc is ffdb at time 808805000.
1152
# In  --> tmpCrc ffdb, at time 808805000
1153
# In  --> sending ACK at time 810726000
1154
# RecvBuffer[0]  = 00000000  : 0
1155
# RecvBuffer[1]  = 00000000  : 0
1156
# RecvBuffer[2]  = 00000000  : 0
1157
# RecvBuffer[3]  = 00000000  : 0
1158
# In  --> In task wait for response at time 817278000
1159
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 817583000
1160
# In  --> Decoder enabled at time 818282000 in host
1161
# In  --> StopTime = x, SE0StartTime = x
1162
# In  --> receive data = d2
1163
# In  --> StopTime = x, SE0StartTime = x
1164
# In  --> EOP asserted for 2 bit time at time 819095000
1165
# ... Write data 76 to UART done cnt :         11 ...
1166
#
1167
#
1168
# ... Writing char  61 ...
1169
# CntrlTransType = 10
1170
# In  --> In task wait for response at time 830970000
1171
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 831275000
1172
# In  --> Decoder enabled at time 831973000 in host
1173
# In  --> StopTime = x, SE0StartTime = x
1174
# In  --> receive data = d2
1175
# In  --> StopTime = x, SE0StartTime = x
1176
# In  --> EOP asserted for 2 bit time at time 832787000
1177
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1178
# CntrlTransType = 10
1179
# In  --> In task wait for response at time 835926000
1180
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 836315000
1181
# In  --> Decoder enabled at time 837017000 in host
1182
# In  --> receive data = 4b
1183
# In  --> receive data = 00
1184
# In  --> receive data = 00
1185
# In  --> receive data = 00
1186
# In  --> receive data = 65
1187
# In  --> receive data = 3f
1188
# In  --> StopTime = x, SE0StartTime = x
1189
# In  --> receive data = f0
1190
# In  --> StopTime = x, SE0StartTime = x
1191
# In  --> EOP asserted for 2 bit time at time 841901000
1192
# In  --> Data toggle recevied is 1001011 at time 841985000
1193
# In  --> received byte[1] = 00000000
1194
# In  --> received byte[2] = 00000000
1195
# In  --> received byte[3] = 00000000
1196
# In  --> received byte[4] = 01100101
1197
# In  --> calculated crc is 3f0 at time 841985000.
1198
# In  --> received raw crc is 3f0 at time 841985000.
1199
# In  --> received crc is 3ff0 at time 841985000.
1200
# In  --> tmpCrc 3ff0, at time 841985000
1201
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  8d
1202
# ... Read Data from UART done cnt :         6...
1203
# In  --> sending ACK at time 843906000
1204
# RecvBuffer[0]  = 00000000  : 0
1205
# RecvBuffer[1]  = 00000000  : 0
1206
# RecvBuffer[2]  = 00000000  : 0
1207
# RecvBuffer[3]  = 01100101  : 101
1208
# In  --> In task wait for response at time 850458000
1209
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 850763000
1210
# In  --> Decoder enabled at time 851459000 in host
1211
# In  --> StopTime = x, SE0StartTime = x
1212
# In  --> receive data = d2
1213
# In  --> StopTime = x, SE0StartTime = x
1214
# In  --> EOP asserted for 2 bit time at time 852254000
1215
# CntrlTransType = 11
1216
# In  --> In task wait for response at time 864150000
1217
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 864434000
1218
# In  --> Decoder enabled at time 865151000 in host
1219
# In  --> StopTime = x, SE0StartTime = x
1220
# In  --> receive data = d2
1221
# In  --> StopTime = x, SE0StartTime = x
1222
# In  --> EOP asserted for 2 bit time at time 865946000
1223
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1224
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
1225
# In  --> DataToggle is 1
1226
# In  --> DataToggle is 4b at time 869190000.
1227
# In  --> sending byte[1] = 00000000
1228
# In  --> sending byte[2] = 00000000
1229
# In  --> sending byte[3] = 00000000
1230
# In  --> sending byte[4] = 01100101
1231
# In  --> raw crc is 3f0 at time              869190
1232
# In  --> sent crc is 3ff0 at time              869190
1233
# In  --> In task wait for response at time 875238000
1234
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 875522000
1235
# In  --> Decoder enabled at time 876258000 in host
1236
# In  --> StopTime = x, SE0StartTime = x
1237
# In  --> receive data = d2
1238
# In  --> StopTime = x, SE0StartTime = x
1239
# In  --> EOP asserted for 2 bit time at time 877055000
1240
# In  --> bits received are 7
1241
# In  --> ACK received at time 877055000.
1242
# Input Address:01, EndPt:0
1243
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
1244
# In  CntrlTransType = 11, WRITE = 11
1245
# In  --> In task wait for response at time 880278000
1246
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 880667000
1247
# In  --> Decoder enabled at time 881367000 in host
1248
# In  --> receive data = 4b
1249
# In  --> receive data = 00
1250
# In  --> StopTime = x, SE0StartTime = x
1251
# In  --> receive data = 00
1252
# In  --> StopTime = x, SE0StartTime = x
1253
# In  --> EOP asserted for 2 bit time at time 883502000
1254
#
1255
# ... Reading the UART Status: 00000067 ...
1256
# ... Write data 3d to UART done cnt :         12 ...
1257
#
1258
#
1259
# ... Writing char 237 ...
1260
# CntrlTransType = 10
1261
# In  --> In task wait for response at time 897330000
1262
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 897614000
1263
# In  --> Decoder enabled at time 898349000 in host
1264
# In  --> StopTime = x, SE0StartTime = x
1265
# In  --> receive data = d2
1266
# In  --> StopTime = x, SE0StartTime = x
1267
# In  --> EOP asserted for 2 bit time at time 899147000
1268
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1269
# CntrlTransType = 10
1270
# In  --> In task wait for response at time 902286000
1271
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 902675000
1272
# In  --> Decoder enabled at time 903374000 in host
1273
# In  --> receive data = 4b
1274
# In  --> receive data = 00
1275
# In  --> receive data = 00
1276
# In  --> receive data = 00
1277
# In  --> receive data = 00
1278
# In  --> receive data = ff
1279
# In  --> StopTime = x, SE0StartTime = x
1280
# In  --> receive data = db
1281
# In  --> StopTime = x, SE0StartTime = x
1282
# In  --> EOP asserted for 2 bit time at time 908261000
1283
# In  --> Data toggle recevied is 1001011 at time 908345000
1284
# In  --> received byte[1] = 00000000
1285
# In  --> received byte[2] = 00000000
1286
# In  --> received byte[3] = 00000000
1287
# In  --> received byte[4] = 00000000
1288
# In  --> calculated crc is 24 at time 908345000.
1289
# In  --> received raw crc is 24 at time 908345000.
1290
# In  --> received crc is ffdb at time 908345000.
1291
# In  --> tmpCrc ffdb, at time 908345000
1292
# In  --> sending ACK at time 910266000
1293
# RecvBuffer[0]  = 00000000  : 0
1294
# RecvBuffer[1]  = 00000000  : 0
1295
# RecvBuffer[2]  = 00000000  : 0
1296
# RecvBuffer[3]  = 00000000  : 0
1297
# In  --> In task wait for response at time 916818000
1298
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 917123000
1299
# In  --> Decoder enabled at time 917837000 in host
1300
# In  --> StopTime = x, SE0StartTime = x
1301
# In  --> receive data = d2
1302
# In  --> StopTime = x, SE0StartTime = x
1303
# In  --> EOP asserted for 2 bit time at time 918635000
1304
# CntrlTransType = 10
1305
# In  --> In task wait for response at time 930510000
1306
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 930815000
1307
# In  --> Decoder enabled at time 931529000 in host
1308
# In  --> StopTime = x, SE0StartTime = x
1309
# In  --> receive data = d2
1310
# In  --> StopTime = x, SE0StartTime = x
1311
# In  --> EOP asserted for 2 bit time at time 932327000
1312
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1313
# CntrlTransType = 10
1314
# In  --> In task wait for response at time 935466000
1315
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 935855000
1316
# In  --> Decoder enabled at time 936569000 in host
1317
# In  --> receive data = 4b
1318
# In  --> receive data = 00
1319
# In  --> receive data = 00
1320
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  65
1321
# ... Read Data from UART done cnt :         7...
1322
# In  --> receive data = 00
1323
# In  --> receive data = 12
1324
# In  --> receive data = 7f
1325
# In  --> StopTime = x, SE0StartTime = x
1326
# In  --> receive data = d6
1327
# In  --> StopTime = x, SE0StartTime = x
1328
# In  --> EOP asserted for 2 bit time at time 941462000
1329
# In  --> Data toggle recevied is 1001011 at time 941546000
1330
# In  --> received byte[1] = 00000000
1331
# In  --> received byte[2] = 00000000
1332
# In  --> received byte[3] = 00000000
1333
# In  --> received byte[4] = 00010010
1334
# In  --> calculated crc is 194 at time 941546000.
1335
# In  --> received raw crc is 194 at time 941546000.
1336
# In  --> received crc is 7fd6 at time 941546000.
1337
# In  --> tmpCrc 7fd6, at time 941546000
1338
# In  --> sending ACK at time 943446000
1339
# RecvBuffer[0]  = 00000000  : 0
1340
# RecvBuffer[1]  = 00000000  : 0
1341
# RecvBuffer[2]  = 00000000  : 0
1342
# RecvBuffer[3]  = 00010010  : 18
1343
# ... Write data ed to UART done cnt :         13 ...
1344
#
1345
#
1346
# ... Writing char 140 ...
1347
# In  --> In task wait for response at time 949998000
1348
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 950282000
1349
# In  --> Decoder enabled at time 951011000 in host
1350
# In  --> StopTime = x, SE0StartTime = x
1351
# In  --> receive data = d2
1352
# In  --> StopTime = x, SE0StartTime = x
1353
# In  --> EOP asserted for 2 bit time at time 951815000
1354
# CntrlTransType = 11
1355
# In  --> In task wait for response at time 963690000
1356
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 963995000
1357
# In  --> Decoder enabled at time 964703000 in host
1358
# In  --> StopTime = x, SE0StartTime = x
1359
# In  --> receive data = d2
1360
# In  --> StopTime = x, SE0StartTime = x
1361
# In  --> EOP asserted for 2 bit time at time 965507000
1362
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1363
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
1364
# In  --> DataToggle is 1
1365
# In  --> DataToggle is 4b at time 968730000.
1366
# In  --> sending byte[1] = 00000000
1367
# In  --> sending byte[2] = 00000000
1368
# In  --> sending byte[3] = 00000000
1369
# In  --> sending byte[4] = 00010010
1370
# In  --> raw crc is 194 at time              968730
1371
# In  --> sent crc is 7fd6 at time              968730
1372
# In  --> In task wait for response at time 974778000
1373
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 975083000
1374
# In  --> Decoder enabled at time 975793000 in host
1375
# In  --> StopTime = x, SE0StartTime = x
1376
# In  --> receive data = d2
1377
# In  --> StopTime = x, SE0StartTime = x
1378
# In  --> EOP asserted for 2 bit time at time 976595000
1379
# In  --> bits received are 7
1380
# In  --> ACK received at time 976595000.
1381
# Input Address:01, EndPt:0
1382
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
1383
# In  CntrlTransType = 11, WRITE = 11
1384
# In  --> In task wait for response at time 979818000
1385
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 980207000
1386
# In  --> Decoder enabled at time 980917000 in host
1387
# In  --> receive data = 4b
1388
# In  --> receive data = 00
1389
# In  --> StopTime = x, SE0StartTime = x
1390
# In  --> receive data = 00
1391
# In  --> StopTime = x, SE0StartTime = x
1392
# In  --> EOP asserted for 2 bit time at time 983063000
1393
#
1394
# ... Reading the UART Status: 00000012 ...
1395
# CntrlTransType = 10
1396
# In  --> In task wait for response at time 996870000
1397
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 997175000
1398
# In  --> Decoder enabled at time 997881000 in host
1399
# In  --> StopTime = x, SE0StartTime = x
1400
# In  --> receive data = d2
1401
# In  --> StopTime = x, SE0StartTime = x
1402
# In  --> EOP asserted for 2 bit time at time 998687000
1403
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1404
# CntrlTransType = 10
1405
# In  --> In task wait for response at time 1001826000
1406
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1002215000
1407
# In  --> Decoder enabled at time 1002924000 in host
1408
# In  --> receive data = 4b
1409
# In  --> receive data = 00
1410
# In  --> receive data = 00
1411
# In  --> receive data = 00
1412
# In  --> receive data = 00
1413
# In  --> receive data = ff
1414
# In  --> StopTime = x, SE0StartTime = x
1415
# In  --> receive data = db
1416
# In  --> StopTime = x, SE0StartTime = x
1417
# In  --> EOP asserted for 2 bit time at time 1007822000
1418
# In  --> Data toggle recevied is 1001011 at time 1007906000
1419
# In  --> received byte[1] = 00000000
1420
# In  --> received byte[2] = 00000000
1421
# In  --> received byte[3] = 00000000
1422
# In  --> received byte[4] = 00000000
1423
# In  --> calculated crc is 24 at time 1007906000.
1424
# In  --> received raw crc is 24 at time 1007906000.
1425
# In  --> received crc is ffdb at time 1007906000.
1426
# In  --> tmpCrc ffdb, at time 1007906000
1427
# In  --> sending ACK at time 1009806000
1428
# RecvBuffer[0]  = 00000000  : 0
1429
# RecvBuffer[1]  = 00000000  : 0
1430
# RecvBuffer[2]  = 00000000  : 0
1431
# RecvBuffer[3]  = 00000000  : 0
1432
# ... Write data 8c to UART done cnt :         14 ...
1433
#
1434
#
1435
# ... Writing char 249 ...
1436
# In  --> In task wait for response at time 1016358000
1437
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1016642000
1438
# In  --> Decoder enabled at time 1017369000 in host
1439
# In  --> StopTime = x, SE0StartTime = x
1440
# In  --> receive data = d2
1441
# In  --> StopTime = x, SE0StartTime = x
1442
# In  --> EOP asserted for 2 bit time at time 1018154000
1443
# CntrlTransType = 10
1444
# In  --> In task wait for response at time 1030050000
1445
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1030334000
1446
# In  --> Decoder enabled at time 1031058000 in host
1447
# In  --> StopTime = x, SE0StartTime = x
1448
# In  --> receive data = d2
1449
# In  --> StopTime = x, SE0StartTime = x
1450
# In  --> EOP asserted for 2 bit time at time 1031846000
1451
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1452
# CntrlTransType = 10
1453
# In  --> In task wait for response at time 1035006000
1454
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1035374000
1455
# In  --> Decoder enabled at time 1036104000 in host
1456
# In  --> receive data = 4b
1457
# In  --> receive data = 00
1458
# In  --> receive data = 00
1459
# In  --> receive data = 00
1460
# In  --> receive data = 01
1461
# In  --> receive data = 3e
1462
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  12
1463
# ... Read Data from UART done cnt :         8...
1464
# In  --> StopTime = x, SE0StartTime = x
1465
# In  --> receive data = 1b
1466
# In  --> StopTime = x, SE0StartTime = x
1467
# In  --> EOP asserted for 2 bit time at time 1040897000
1468
# In  --> Data toggle recevied is 1001011 at time 1040981000
1469
# In  --> received byte[1] = 00000000
1470
# In  --> received byte[2] = 00000000
1471
# In  --> received byte[3] = 00000000
1472
# In  --> received byte[4] = 00000001
1473
# In  --> calculated crc is 8327 at time 1040981000.
1474
# In  --> received raw crc is 8327 at time 1040981000.
1475
# In  --> received crc is 3e1b at time 1040981000.
1476
# In  --> tmpCrc 3e1b, at time 1040981000
1477
# In  --> sending ACK at time 1042902000
1478
# RecvBuffer[0]  = 00000000  : 0
1479
# RecvBuffer[1]  = 00000000  : 0
1480
# RecvBuffer[2]  = 00000000  : 0
1481
# RecvBuffer[3]  = 00000001  : 1
1482
# In  --> In task wait for response at time 1049454000
1483
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1049759000
1484
# In  --> Decoder enabled at time 1050463000 in host
1485
# In  --> StopTime = x, SE0StartTime = x
1486
# In  --> receive data = d2
1487
# In  --> StopTime = x, SE0StartTime = x
1488
# In  --> EOP asserted for 2 bit time at time 1051271000
1489
# CntrlTransType = 11
1490
# In  --> In task wait for response at time 1063146000
1491
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1063451000
1492
# In  --> Decoder enabled at time 1064152000 in host
1493
# In  --> StopTime = x, SE0StartTime = x
1494
# In  --> receive data = d2
1495
# In  --> StopTime = x, SE0StartTime = x
1496
# In  --> EOP asserted for 2 bit time at time 1064963000
1497
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1498
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
1499
# In  --> DataToggle is 1
1500
# In  --> DataToggle is 4b at time 1068186000.
1501
# In  --> sending byte[1] = 00000000
1502
# In  --> sending byte[2] = 00000000
1503
# In  --> sending byte[3] = 00000000
1504
# In  --> sending byte[4] = 00000001
1505
# In  --> raw crc is 8327 at time             1068186
1506
# In  --> sent crc is 3e1b at time             1068186
1507
# In  --> In task wait for response at time 1074150000
1508
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1074455000
1509
# In  --> Decoder enabled at time 1075155000 in host
1510
# In  --> StopTime = x, SE0StartTime = x
1511
# In  --> receive data = d2
1512
# In  --> StopTime = x, SE0StartTime = x
1513
# In  --> EOP asserted for 2 bit time at time 1075967000
1514
# In  --> bits received are 7
1515
# In  --> ACK received at time 1075967000.
1516
# Input Address:01, EndPt:0
1517
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
1518
# In  CntrlTransType = 11, WRITE = 11
1519
# ... Write data f9 to UART done cnt :         15 ...
1520
#
1521
#
1522
# ... Writing char 198 ...
1523
# In  --> In task wait for response at time 1079190000
1524
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1079579000
1525
# In  --> Decoder enabled at time 1080285000 in host
1526
# In  --> receive data = 4b
1527
# In  --> receive data = 00
1528
# In  --> StopTime = x, SE0StartTime = x
1529
# In  --> receive data = 00
1530
# In  --> StopTime = x, SE0StartTime = x
1531
# In  --> EOP asserted for 2 bit time at time 1082414000
1532
#
1533
# ... Reading the UART Status: 00000003 ...
1534
# CntrlTransType = 10
1535
# In  --> In task wait for response at time 1096242000
1536
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1096526000
1537
# In  --> Decoder enabled at time 1097246000 in host
1538
# In  --> StopTime = x, SE0StartTime = x
1539
# In  --> receive data = d2
1540
# In  --> StopTime = x, SE0StartTime = x
1541
# In  --> EOP asserted for 2 bit time at time 1098038000
1542
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1543
# CntrlTransType = 10
1544
# In  --> In task wait for response at time 1101198000
1545
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1101566000
1546
# In  --> Decoder enabled at time 1102292000 in host
1547
# In  --> receive data = 4b
1548
# In  --> receive data = 00
1549
# In  --> receive data = 00
1550
# In  --> receive data = 00
1551
# In  --> receive data = 00
1552
# In  --> receive data = ff
1553
# In  --> StopTime = x, SE0StartTime = x
1554
# In  --> receive data = db
1555
# In  --> StopTime = x, SE0StartTime = x
1556
# In  --> EOP asserted for 2 bit time at time 1107173000
1557
# In  --> Data toggle recevied is 1001011 at time 1107257000
1558
# In  --> received byte[1] = 00000000
1559
# In  --> received byte[2] = 00000000
1560
# In  --> received byte[3] = 00000000
1561
# In  --> received byte[4] = 00000000
1562
# In  --> calculated crc is 24 at time 1107257000.
1563
# In  --> received raw crc is 24 at time 1107257000.
1564
# In  --> received crc is ffdb at time 1107257000.
1565
# In  --> tmpCrc ffdb, at time 1107257000
1566
# In  --> sending ACK at time 1109178000
1567
# RecvBuffer[0]  = 00000000  : 0
1568
# RecvBuffer[1]  = 00000000  : 0
1569
# RecvBuffer[2]  = 00000000  : 0
1570
# RecvBuffer[3]  = 00000000  : 0
1571
# In  --> In task wait for response at time 1115730000
1572
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1116035000
1573
# In  --> Decoder enabled at time 1116734000 in host
1574
# In  --> StopTime = x, SE0StartTime = x
1575
# In  --> receive data = d2
1576
# In  --> StopTime = x, SE0StartTime = x
1577
# In  --> EOP asserted for 2 bit time at time 1117526000
1578
# CntrlTransType = 10
1579
# In  --> In task wait for response at time 1129422000
1580
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1129706000
1581
# In  --> Decoder enabled at time 1130426000 in host
1582
# In  --> StopTime = x, SE0StartTime = x
1583
# In  --> receive data = d2
1584
# In  --> StopTime = x, SE0StartTime = x
1585
# In  --> EOP asserted for 2 bit time at time 1131218000
1586
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1587
# CntrlTransType = 10
1588
# In  --> In task wait for response at time 1134378000
1589
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1134746000
1590
# In  --> Decoder enabled at time 1135466000 in host
1591
# In  --> receive data = 4b
1592
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  01
1593
# ... Read Data from UART done cnt :         9...
1594
# In  --> receive data = 00
1595
# In  --> receive data = 00
1596
# In  --> receive data = 00
1597
# In  --> receive data = 0d
1598
# In  --> receive data = 3e
1599
# In  --> StopTime = x, SE0StartTime = x
1600
# In  --> receive data = 1e
1601
# In  --> StopTime = x, SE0StartTime = x
1602
# In  --> EOP asserted for 2 bit time at time 1140269000
1603
# In  --> Data toggle recevied is 1001011 at time 1140353000
1604
# In  --> received byte[1] = 00000000
1605
# In  --> received byte[2] = 00000000
1606
# In  --> received byte[3] = 00000000
1607
# In  --> received byte[4] = 00001101
1608
# In  --> calculated crc is 8387 at time 1140353000.
1609
# In  --> received raw crc is 8387 at time 1140353000.
1610
# In  --> received crc is 3e1e at time 1140353000.
1611
# In  --> tmpCrc 3e1e, at time 1140353000
1612
# ... Write data c6 to UART done cnt :         16 ...
1613
#
1614
#
1615
# ... Writing char 197 ...
1616
# In  --> sending ACK at time 1142274000
1617
# RecvBuffer[0]  = 00000000  : 0
1618
# RecvBuffer[1]  = 00000000  : 0
1619
# RecvBuffer[2]  = 00000000  : 0
1620
# RecvBuffer[3]  = 00001101  : 13
1621
# In  --> In task wait for response at time 1148826000
1622
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1149131000
1623
# In  --> Decoder enabled at time 1149846000 in host
1624
# In  --> StopTime = x, SE0StartTime = x
1625
# In  --> receive data = d2
1626
# In  --> StopTime = x, SE0StartTime = x
1627
# In  --> EOP asserted for 2 bit time at time 1150643000
1628
# CntrlTransType = 11
1629
# In  --> In task wait for response at time 1162518000
1630
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1162823000
1631
# In  --> Decoder enabled at time 1163538000 in host
1632
# In  --> StopTime = x, SE0StartTime = x
1633
# In  --> receive data = d2
1634
# In  --> StopTime = x, SE0StartTime = x
1635
# In  --> EOP asserted for 2 bit time at time 1164335000
1636
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1637
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
1638
# In  --> DataToggle is 1
1639
# In  --> DataToggle is 4b at time 1167558000.
1640
# In  --> sending byte[1] = 00000000
1641
# In  --> sending byte[2] = 00000000
1642
# In  --> sending byte[3] = 00000000
1643
# In  --> sending byte[4] = 00001101
1644
# In  --> raw crc is 8387 at time             1167558
1645
# In  --> sent crc is 3e1e at time             1167558
1646
# In  --> In task wait for response at time 1173522000
1647
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1173827000
1648
# In  --> Decoder enabled at time 1174541000 in host
1649
# In  --> StopTime = x, SE0StartTime = x
1650
# In  --> receive data = d2
1651
# In  --> StopTime = x, SE0StartTime = x
1652
# In  --> EOP asserted for 2 bit time at time 1175339000
1653
# In  --> bits received are 7
1654
# In  --> ACK received at time 1175339000.
1655
# Input Address:01, EndPt:0
1656
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
1657
# In  CntrlTransType = 11, WRITE = 11
1658
# In  --> In task wait for response at time 1178562000
1659
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1178951000
1660
# In  --> Decoder enabled at time 1179650000 in host
1661
# In  --> receive data = 4b
1662
# In  --> receive data = 00
1663
# In  --> StopTime = x, SE0StartTime = x
1664
# In  --> receive data = 00
1665
# In  --> StopTime = x, SE0StartTime = x
1666
# In  --> EOP asserted for 2 bit time at time 1181786000
1667
#
1668
# ... Reading the UART Status: 0000000f ...
1669
# CntrlTransType = 10
1670
# In  --> In task wait for response at time 1195614000
1671
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1195898000
1672
# In  --> Decoder enabled at time 1196632000 in host
1673
# In  --> StopTime = x, SE0StartTime = x
1674
# In  --> receive data = d2
1675
# In  --> StopTime = x, SE0StartTime = x
1676
# In  --> EOP asserted for 2 bit time at time 1197431000
1677
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1678
# CntrlTransType = 10
1679
# In  --> In task wait for response at time 1200570000
1680
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1200959000
1681
# In  --> Decoder enabled at time 1201657000 in host
1682
# In  --> receive data = 4b
1683
# In  --> receive data = 00
1684
# In  --> receive data = 00
1685
# In  --> receive data = 00
1686
# In  --> receive data = 00
1687
# In  --> receive data = ff
1688
# ... Write data c5 to UART done cnt :         17 ...
1689
#
1690
#
1691
# ... Writing char 170 ...
1692
# In  --> StopTime = x, SE0StartTime = x
1693
# In  --> receive data = db
1694
# In  --> StopTime = x, SE0StartTime = x
1695
# In  --> EOP asserted for 2 bit time at time 1206545000
1696
# In  --> Data toggle recevied is 1001011 at time 1206629000
1697
# In  --> received byte[1] = 00000000
1698
# In  --> received byte[2] = 00000000
1699
# In  --> received byte[3] = 00000000
1700
# In  --> received byte[4] = 00000000
1701
# In  --> calculated crc is 24 at time 1206629000.
1702
# In  --> received raw crc is 24 at time 1206629000.
1703
# In  --> received crc is ffdb at time 1206629000.
1704
# In  --> tmpCrc ffdb, at time 1206629000
1705
# In  --> sending ACK at time 1208550000
1706
# RecvBuffer[0]  = 00000000  : 0
1707
# RecvBuffer[1]  = 00000000  : 0
1708
# RecvBuffer[2]  = 00000000  : 0
1709
# RecvBuffer[3]  = 00000000  : 0
1710
# In  --> In task wait for response at time 1215102000
1711
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1215407000
1712
# In  --> Decoder enabled at time 1216120000 in host
1713
# In  --> StopTime = x, SE0StartTime = x
1714
# In  --> receive data = d2
1715
# In  --> StopTime = x, SE0StartTime = x
1716
# In  --> EOP asserted for 2 bit time at time 1216919000
1717
# CntrlTransType = 10
1718
# In  --> In task wait for response at time 1228794000
1719
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1229099000
1720
# In  --> Decoder enabled at time 1229812000 in host
1721
# In  --> StopTime = x, SE0StartTime = x
1722
# In  --> receive data = d2
1723
# In  --> StopTime = x, SE0StartTime = x
1724
# In  --> EOP asserted for 2 bit time at time 1230611000
1725
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1726
# CntrlTransType = 10
1727
# In  --> In task wait for response at time 1233750000
1728
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1234055000
1729
# In  --> Decoder enabled at time 1234769000 in host
1730
# In  --> receive data = 4b
1731
# In  --> receive data = 00
1732
# In  --> receive data = 00
1733
# In  --> receive data = 00
1734
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  0d
1735
# ... Read Data from UART done cnt :        10...
1736
# In  --> receive data = 76
1737
# In  --> receive data = 7e
1738
# In  --> StopTime = x, SE0StartTime = x
1739
# In  --> receive data = 3d
1740
# In  --> StopTime = x, SE0StartTime = x
1741
# In  --> EOP asserted for 2 bit time at time 1239662000
1742
# In  --> Data toggle recevied is 1001011 at time 1239746000
1743
# In  --> received byte[1] = 00000000
1744
# In  --> received byte[2] = 00000000
1745
# In  --> received byte[3] = 00000000
1746
# In  --> received byte[4] = 01110110
1747
# In  --> calculated crc is 8143 at time 1239746000.
1748
# In  --> received raw crc is 8143 at time 1239746000.
1749
# In  --> received crc is 7e3d at time 1239746000.
1750
# In  --> tmpCrc 7e3d, at time 1239746000
1751
# In  --> sending ACK at time 1241646000
1752
# RecvBuffer[0]  = 00000000  : 0
1753
# RecvBuffer[1]  = 00000000  : 0
1754
# RecvBuffer[2]  = 00000000  : 0
1755
# RecvBuffer[3]  = 01110110  : 118
1756
# In  --> In task wait for response at time 1248198000
1757
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1248482000
1758
# In  --> Decoder enabled at time 1249214000 in host
1759
# In  --> StopTime = x, SE0StartTime = x
1760
# In  --> receive data = d2
1761
# In  --> StopTime = x, SE0StartTime = x
1762
# In  --> EOP asserted for 2 bit time at time 1250015000
1763
# CntrlTransType = 11
1764
# In  --> In task wait for response at time 1261890000
1765
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1262195000
1766
# In  --> Decoder enabled at time 1262903000 in host
1767
# In  --> StopTime = x, SE0StartTime = x
1768
# In  --> receive data = d2
1769
# In  --> StopTime = x, SE0StartTime = x
1770
# In  --> EOP asserted for 2 bit time at time 1263707000
1771
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1772
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
1773
# In  --> DataToggle is 1
1774
# In  --> DataToggle is 4b at time 1266930000.
1775
# In  --> sending byte[1] = 00000000
1776
# In  --> sending byte[2] = 00000000
1777
# In  --> sending byte[3] = 00000000
1778
# In  --> sending byte[4] = 01110110
1779
# In  --> raw crc is 8143 at time             1266930
1780
# In  --> sent crc is 7e3d at time             1266930
1781
# ... Write data aa to UART done cnt :         18 ...
1782
#
1783
#
1784
# ... Writing char 229 ...
1785
# In  --> In task wait for response at time 1272978000
1786
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1273283000
1787
# In  --> Decoder enabled at time 1273990000 in host
1788
# In  --> StopTime = x, SE0StartTime = x
1789
# In  --> receive data = d2
1790
# In  --> StopTime = x, SE0StartTime = x
1791
# In  --> EOP asserted for 2 bit time at time 1274795000
1792
# In  --> bits received are 7
1793
# In  --> ACK received at time 1274795000.
1794
# Input Address:01, EndPt:0
1795
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
1796
# In  CntrlTransType = 11, WRITE = 11
1797
# In  --> In task wait for response at time 1278018000
1798
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1278407000
1799
# In  --> Decoder enabled at time 1279116000 in host
1800
# In  --> receive data = 4b
1801
# In  --> receive data = 00
1802
# In  --> StopTime = x, SE0StartTime = x
1803
# In  --> receive data = 00
1804
# In  --> StopTime = x, SE0StartTime = x
1805
# In  --> EOP asserted for 2 bit time at time 1281263000
1806
#
1807
# ... Reading the UART Status: 00000076 ...
1808
# CntrlTransType = 10
1809
# In  --> In task wait for response at time 1295070000
1810
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1295375000
1811
# In  --> Decoder enabled at time 1296083000 in host
1812
# In  --> StopTime = x, SE0StartTime = x
1813
# In  --> receive data = d2
1814
# In  --> StopTime = x, SE0StartTime = x
1815
# In  --> EOP asserted for 2 bit time at time 1296887000
1816
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1817
# CntrlTransType = 10
1818
# In  --> In task wait for response at time 1300026000
1819
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1300415000
1820
# In  --> Decoder enabled at time 1301123000 in host
1821
# In  --> receive data = 4b
1822
# In  --> receive data = 00
1823
# In  --> receive data = 00
1824
# In  --> receive data = 00
1825
# In  --> receive data = 00
1826
# In  --> receive data = ff
1827
# In  --> StopTime = x, SE0StartTime = x
1828
# In  --> receive data = db
1829
# In  --> StopTime = x, SE0StartTime = x
1830
# In  --> EOP asserted for 2 bit time at time 1306022000
1831
# In  --> Data toggle recevied is 1001011 at time 1306106000
1832
# In  --> received byte[1] = 00000000
1833
# In  --> received byte[2] = 00000000
1834
# In  --> received byte[3] = 00000000
1835
# In  --> received byte[4] = 00000000
1836
# In  --> calculated crc is 24 at time 1306106000.
1837
# In  --> received raw crc is 24 at time 1306106000.
1838
# In  --> received crc is ffdb at time 1306106000.
1839
# In  --> tmpCrc ffdb, at time 1306106000
1840
# In  --> sending ACK at time 1308006000
1841
# RecvBuffer[0]  = 00000000  : 0
1842
# RecvBuffer[1]  = 00000000  : 0
1843
# RecvBuffer[2]  = 00000000  : 0
1844
# RecvBuffer[3]  = 00000000  : 0
1845
# In  --> In task wait for response at time 1314558000
1846
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1314842000
1847
# In  --> Decoder enabled at time 1315566000 in host
1848
# In  --> StopTime = x, SE0StartTime = x
1849
# In  --> receive data = d2
1850
# In  --> StopTime = x, SE0StartTime = x
1851
# In  --> EOP asserted for 2 bit time at time 1316354000
1852
# CntrlTransType = 10
1853
# In  --> In task wait for response at time 1328250000
1854
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1328534000
1855
# In  --> Decoder enabled at time 1329257000 in host
1856
# In  --> StopTime = x, SE0StartTime = x
1857
# In  --> receive data = d2
1858
# In  --> StopTime = x, SE0StartTime = x
1859
# In  --> EOP asserted for 2 bit time at time 1330046000
1860
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1861
# CntrlTransType = 10
1862
# In  --> In task wait for response at time 1333206000
1863
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1333574000
1864
# ... Write data e5 to UART done cnt :         19 ...
1865
#
1866
#
1867
# ... Writing char 119 ...
1868
# In  --> Decoder enabled at time 1334301000 in host
1869
# In  --> receive data = 4b
1870
# In  --> receive data = 00
1871
# In  --> receive data = 00
1872
# In  --> receive data = 00
1873
# In  --> receive data = 3d
1874
# In  --> receive data = 3e
1875
# In  --> StopTime = x, SE0StartTime = x
1876
# In  --> receive data = 0a
1877
# In  --> StopTime = x, SE0StartTime = x
1878
# In  --> EOP asserted for 2 bit time at time 1339097000
1879
# In  --> Data toggle recevied is 1001011 at time 1339181000
1880
# In  --> received byte[1] = 00000000
1881
# In  --> received byte[2] = 00000000
1882
# In  --> received byte[3] = 00000000
1883
# In  --> received byte[4] = 00111101
1884
# In  --> calculated crc is 83af at time 1339181000.
1885
# In  --> received raw crc is 83af at time 1339181000.
1886
# In  --> received crc is 3e0a at time 1339181000.
1887
# In  --> tmpCrc 3e0a, at time 1339181000
1888
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  76
1889
# ... Read Data from UART done cnt :        11...
1890
# In  --> sending ACK at time 1341102000
1891
# RecvBuffer[0]  = 00000000  : 0
1892
# RecvBuffer[1]  = 00000000  : 0
1893
# RecvBuffer[2]  = 00000000  : 0
1894
# RecvBuffer[3]  = 00111101  : 61
1895
# In  --> In task wait for response at time 1347654000
1896
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1347959000
1897
# In  --> Decoder enabled at time 1348660000 in host
1898
# In  --> StopTime = x, SE0StartTime = x
1899
# In  --> receive data = d2
1900
# In  --> StopTime = x, SE0StartTime = x
1901
# In  --> EOP asserted for 2 bit time at time 1349471000
1902
# CntrlTransType = 11
1903
# In  --> In task wait for response at time 1361346000
1904
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1361651000
1905
# In  --> Decoder enabled at time 1362351000 in host
1906
# In  --> StopTime = x, SE0StartTime = x
1907
# In  --> receive data = d2
1908
# In  --> StopTime = x, SE0StartTime = x
1909
# In  --> EOP asserted for 2 bit time at time 1363163000
1910
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1911
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
1912
# In  --> DataToggle is 1
1913
# In  --> DataToggle is 4b at time 1366386000.
1914
# In  --> sending byte[1] = 00000000
1915
# In  --> sending byte[2] = 00000000
1916
# In  --> sending byte[3] = 00000000
1917
# In  --> sending byte[4] = 00111101
1918
# In  --> raw crc is 83af at time             1366386
1919
# In  --> sent crc is 3e0a at time             1366386
1920
# In  --> In task wait for response at time 1372350000
1921
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1372655000
1922
# In  --> Decoder enabled at time 1373355000 in host
1923
# In  --> StopTime = x, SE0StartTime = x
1924
# In  --> receive data = d2
1925
# In  --> StopTime = x, SE0StartTime = x
1926
# In  --> EOP asserted for 2 bit time at time 1374167000
1927
# In  --> bits received are 7
1928
# In  --> ACK received at time 1374167000.
1929
# Input Address:01, EndPt:0
1930
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
1931
# In  CntrlTransType = 11, WRITE = 11
1932
# In  --> In task wait for response at time 1377390000
1933
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1377779000
1934
# In  --> Decoder enabled at time 1378482000 in host
1935
# In  --> receive data = 4b
1936
# In  --> receive data = 00
1937
# In  --> StopTime = x, SE0StartTime = x
1938
# In  --> receive data = 00
1939
# In  --> StopTime = x, SE0StartTime = x
1940
# In  --> EOP asserted for 2 bit time at time 1380614000
1941
#
1942
# ... Reading the UART Status: 0000003f ...
1943
# CntrlTransType = 10
1944
# In  --> In task wait for response at time 1394442000
1945
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1394726000
1946
# In  --> Decoder enabled at time 1395445000 in host
1947
# In  --> StopTime = x, SE0StartTime = x
1948
# In  --> receive data = d2
1949
# In  --> StopTime = x, SE0StartTime = x
1950
# In  --> EOP asserted for 2 bit time at time 1396238000
1951
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
1952
# CntrlTransType = 10
1953
# ... Write data 77 to UART done cnt :         20 ...
1954
#
1955
#
1956
# ... Writing char  18 ...
1957
# In  --> In task wait for response at time 1399398000
1958
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1399766000
1959
# In  --> Decoder enabled at time 1400489000 in host
1960
# In  --> receive data = 4b
1961
# In  --> receive data = 00
1962
# In  --> receive data = 00
1963
# In  --> receive data = 00
1964
# In  --> receive data = 00
1965
# In  --> receive data = ff
1966
# In  --> StopTime = x, SE0StartTime = x
1967
# In  --> receive data = db
1968
# In  --> StopTime = x, SE0StartTime = x
1969
# In  --> EOP asserted for 2 bit time at time 1405373000
1970
# In  --> Data toggle recevied is 1001011 at time 1405457000
1971
# In  --> received byte[1] = 00000000
1972
# In  --> received byte[2] = 00000000
1973
# In  --> received byte[3] = 00000000
1974
# In  --> received byte[4] = 00000000
1975
# In  --> calculated crc is 24 at time 1405457000.
1976
# In  --> received raw crc is 24 at time 1405457000.
1977
# In  --> received crc is ffdb at time 1405457000.
1978
# In  --> tmpCrc ffdb, at time 1405457000
1979
# In  --> sending ACK at time 1407378000
1980
# RecvBuffer[0]  = 00000000  : 0
1981
# RecvBuffer[1]  = 00000000  : 0
1982
# RecvBuffer[2]  = 00000000  : 0
1983
# RecvBuffer[3]  = 00000000  : 0
1984
# In  --> In task wait for response at time 1413930000
1985
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1414235000
1986
# In  --> Decoder enabled at time 1414931000 in host
1987
# In  --> StopTime = x, SE0StartTime = x
1988
# In  --> receive data = d2
1989
# In  --> StopTime = x, SE0StartTime = x
1990
# In  --> EOP asserted for 2 bit time at time 1415726000
1991
# CntrlTransType = 10
1992
# In  --> In task wait for response at time 1427622000
1993
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1427906000
1994
# In  --> Decoder enabled at time 1428623000 in host
1995
# In  --> StopTime = x, SE0StartTime = x
1996
# In  --> receive data = d2
1997
# In  --> StopTime = x, SE0StartTime = x
1998
# In  --> EOP asserted for 2 bit time at time 1429418000
1999
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2000
# CntrlTransType = 10
2001
# In  --> In task wait for response at time 1432578000
2002
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1432946000
2003
# In  --> Decoder enabled at time 1433666000 in host
2004
# In  --> receive data = 4b
2005
# In  --> receive data = 00
2006
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  3d
2007
# ... Read Data from UART done cnt :        12...
2008
# In  --> receive data = 00
2009
# In  --> receive data = 00
2010
# In  --> receive data = ed
2011
# In  --> receive data = 3f
2012
# In  --> StopTime = x, SE0StartTime = x
2013
# In  --> receive data = 96
2014
# In  --> StopTime = x, SE0StartTime = x
2015
# In  --> EOP asserted for 2 bit time at time 1438553000
2016
# In  --> Data toggle recevied is 1001011 at time 1438637000
2017
# In  --> received byte[1] = 00000000
2018
# In  --> received byte[2] = 00000000
2019
# In  --> received byte[3] = 00000000
2020
# In  --> received byte[4] = 11101101
2021
# In  --> calculated crc is 396 at time 1438637000.
2022
# In  --> received raw crc is 396 at time 1438637000.
2023
# In  --> received crc is 3f96 at time 1438637000.
2024
# In  --> tmpCrc 3f96, at time 1438637000
2025
# In  --> sending ACK at time 1440558000
2026
# RecvBuffer[0]  = 00000000  : 0
2027
# RecvBuffer[1]  = 00000000  : 0
2028
# RecvBuffer[2]  = 00000000  : 0
2029
# RecvBuffer[3]  = 11101101  : 237
2030
# In  --> In task wait for response at time 1447110000
2031
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1447415000
2032
# In  --> Decoder enabled at time 1448129000 in host
2033
# In  --> StopTime = x, SE0StartTime = x
2034
# In  --> receive data = d2
2035
# In  --> StopTime = x, SE0StartTime = x
2036
# In  --> EOP asserted for 2 bit time at time 1448927000
2037
# CntrlTransType = 11
2038
# In  --> In task wait for response at time 1460802000
2039
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1461107000
2040
# In  --> Decoder enabled at time 1461821000 in host
2041
# ... Write data 12 to UART done cnt :         21 ...
2042
#
2043
#
2044
# ... Writing char 143 ...
2045
# In  --> StopTime = x, SE0StartTime = x
2046
# In  --> receive data = d2
2047
# In  --> StopTime = x, SE0StartTime = x
2048
# In  --> EOP asserted for 2 bit time at time 1462619000
2049
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2050
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
2051
# In  --> DataToggle is 1
2052
# In  --> DataToggle is 4b at time 1465842000.
2053
# In  --> sending byte[1] = 00000000
2054
# In  --> sending byte[2] = 00000000
2055
# In  --> sending byte[3] = 00000000
2056
# In  --> sending byte[4] = 11101101
2057
# In  --> raw crc is 396 at time             1465842
2058
# In  --> sent crc is 3f96 at time             1465842
2059
# In  --> In task wait for response at time 1471890000
2060
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1472195000
2061
# In  --> Decoder enabled at time 1472908000 in host
2062
# In  --> StopTime = x, SE0StartTime = x
2063
# In  --> receive data = d2
2064
# In  --> StopTime = x, SE0StartTime = x
2065
# In  --> EOP asserted for 2 bit time at time 1473707000
2066
# In  --> bits received are 7
2067
# In  --> ACK received at time 1473707000.
2068
# Input Address:01, EndPt:0
2069
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
2070
# In  CntrlTransType = 11, WRITE = 11
2071
# In  --> In task wait for response at time 1476930000
2072
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1477319000
2073
# In  --> Decoder enabled at time 1478013000 in host
2074
# In  --> receive data = 4b
2075
# In  --> receive data = 00
2076
# In  --> StopTime = x, SE0StartTime = x
2077
# In  --> receive data = 00
2078
# In  --> StopTime = x, SE0StartTime = x
2079
# In  --> EOP asserted for 2 bit time at time 1480154000
2080
#
2081
# ... Reading the UART Status: 000000ef ...
2082
# CntrlTransType = 10
2083
# In  --> In task wait for response at time 1493982000
2084
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1494266000
2085
# In  --> Decoder enabled at time 1495001000 in host
2086
# In  --> StopTime = x, SE0StartTime = x
2087
# In  --> receive data = d2
2088
# In  --> StopTime = x, SE0StartTime = x
2089
# In  --> EOP asserted for 2 bit time at time 1495799000
2090
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2091
# CntrlTransType = 10
2092
# In  --> In task wait for response at time 1498938000
2093
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1499327000
2094
# In  --> Decoder enabled at time 1500044000 in host
2095
# In  --> receive data = 4b
2096
# In  --> receive data = 00
2097
# In  --> receive data = 00
2098
# In  --> receive data = 00
2099
# In  --> receive data = 00
2100
# In  --> receive data = ff
2101
# In  --> StopTime = x, SE0StartTime = x
2102
# In  --> receive data = db
2103
# In  --> StopTime = x, SE0StartTime = x
2104
# In  --> EOP asserted for 2 bit time at time 1504934000
2105
# In  --> Data toggle recevied is 1001011 at time 1505018000
2106
# In  --> received byte[1] = 00000000
2107
# In  --> received byte[2] = 00000000
2108
# In  --> received byte[3] = 00000000
2109
# In  --> received byte[4] = 00000000
2110
# In  --> calculated crc is 24 at time 1505018000.
2111
# In  --> received raw crc is 24 at time 1505018000.
2112
# In  --> received crc is ffdb at time 1505018000.
2113
# In  --> tmpCrc ffdb, at time 1505018000
2114
# In  --> sending ACK at time 1506918000
2115
# RecvBuffer[0]  = 00000000  : 0
2116
# RecvBuffer[1]  = 00000000  : 0
2117
# RecvBuffer[2]  = 00000000  : 0
2118
# RecvBuffer[3]  = 00000000  : 0
2119
# In  --> In task wait for response at time 1513470000
2120
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1513754000
2121
# In  --> Decoder enabled at time 1514483000 in host
2122
# In  --> StopTime = x, SE0StartTime = x
2123
# In  --> receive data = d2
2124
# In  --> StopTime = x, SE0StartTime = x
2125
# In  --> EOP asserted for 2 bit time at time 1515287000
2126
# ... Write data 8f to UART done cnt :         22 ...
2127
#
2128
#
2129
# ... Writing char 242 ...
2130
# CntrlTransType = 10
2131
# In  --> In task wait for response at time 1527162000
2132
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1527467000
2133
# In  --> Decoder enabled at time 1528178000 in host
2134
# In  --> StopTime = x, SE0StartTime = x
2135
# In  --> receive data = d2
2136
# In  --> StopTime = x, SE0StartTime = x
2137
# In  --> EOP asserted for 2 bit time at time 1528979000
2138
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2139
# CntrlTransType = 10
2140
# In  --> In task wait for response at time 1532118000
2141
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1532423000
2142
# In  --> Decoder enabled at time 1533138000 in host
2143
# In  --> receive data = 4b
2144
# In  --> receive data = 00
2145
# In  --> receive data = 00
2146
# In  --> receive data = 00
2147
# In  --> receive data = 8c
2148
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  ed
2149
# ... Read Data from UART done cnt :        13...
2150
# In  --> receive data = fe
2151
# In  --> StopTime = x, SE0StartTime = x
2152
# In  --> receive data = 7e
2153
# In  --> StopTime = x, SE0StartTime = x
2154
# In  --> EOP asserted for 2 bit time at time 1538114000
2155
# In  --> Data toggle recevied is 1001011 at time 1538198000
2156
# In  --> received byte[1] = 00000000
2157
# In  --> received byte[2] = 00000000
2158
# In  --> received byte[3] = 00000000
2159
# In  --> received byte[4] = 10001100
2160
# In  --> calculated crc is 8081 at time 1538198000.
2161
# In  --> received raw crc is 8081 at time 1538198000.
2162
# In  --> received crc is fe7e at time 1538198000.
2163
# In  --> tmpCrc fe7e, at time 1538198000
2164
# In  --> sending ACK at time 1540098000
2165
# RecvBuffer[0]  = 00000000  : 0
2166
# RecvBuffer[1]  = 00000000  : 0
2167
# RecvBuffer[2]  = 00000000  : 0
2168
# RecvBuffer[3]  = 10001100  : 140
2169
# In  --> In task wait for response at time 1546650000
2170
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1546934000
2171
# In  --> Decoder enabled at time 1547661000 in host
2172
# In  --> StopTime = x, SE0StartTime = x
2173
# In  --> receive data = d2
2174
# In  --> StopTime = x, SE0StartTime = x
2175
# In  --> EOP asserted for 2 bit time at time 1548446000
2176
# CntrlTransType = 11
2177
# In  --> In task wait for response at time 1560342000
2178
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1560626000
2179
# In  --> Decoder enabled at time 1561353000 in host
2180
# In  --> StopTime = x, SE0StartTime = x
2181
# In  --> receive data = d2
2182
# In  --> StopTime = x, SE0StartTime = x
2183
# In  --> EOP asserted for 2 bit time at time 1562138000
2184
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2185
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
2186
# In  --> DataToggle is 1
2187
# In  --> DataToggle is 4b at time 1565382000.
2188
# In  --> sending byte[1] = 00000000
2189
# In  --> sending byte[2] = 00000000
2190
# In  --> sending byte[3] = 00000000
2191
# In  --> sending byte[4] = 10001100
2192
# In  --> raw crc is 8081 at time             1565382
2193
# In  --> sent crc is fe7e at time             1565382
2194
# In  --> In task wait for response at time 1571514000
2195
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1571798000
2196
# In  --> Decoder enabled at time 1572523000 in host
2197
# In  --> StopTime = x, SE0StartTime = x
2198
# In  --> receive data = d2
2199
# In  --> StopTime = x, SE0StartTime = x
2200
# In  --> EOP asserted for 2 bit time at time 1573310000
2201
# In  --> bits received are 7
2202
# In  --> ACK received at time 1573310000.
2203
# Input Address:01, EndPt:0
2204
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
2205
# In  CntrlTransType = 11, WRITE = 11
2206
# In  --> In task wait for response at time 1576554000
2207
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1576922000
2208
# In  --> Decoder enabled at time 1577649000 in host
2209
# In  --> receive data = 4b
2210
# In  --> receive data = 00
2211
# In  --> StopTime = x, SE0StartTime = x
2212
# In  --> receive data = 00
2213
# In  --> StopTime = x, SE0StartTime = x
2214
# In  --> EOP asserted for 2 bit time at time 1579778000
2215
#
2216
# ... Reading the UART Status: 0000008e ...
2217
# ... Write data f2 to UART done cnt :         23 ...
2218
#
2219
#
2220
# ... Writing char 206 ...
2221
# CntrlTransType = 10
2222
# In  --> In task wait for response at time 1593606000
2223
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1593890000
2224
# In  --> Decoder enabled at time 1594616000 in host
2225
# In  --> StopTime = x, SE0StartTime = x
2226
# In  --> receive data = d2
2227
# In  --> StopTime = x, SE0StartTime = x
2228
# In  --> EOP asserted for 2 bit time at time 1595402000
2229
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2230
# CntrlTransType = 10
2231
# In  --> In task wait for response at time 1598562000
2232
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1598930000
2233
# In  --> Decoder enabled at time 1599656000 in host
2234
# In  --> receive data = 4b
2235
# In  --> receive data = 00
2236
# In  --> receive data = 00
2237
# In  --> receive data = 00
2238
# In  --> receive data = 00
2239
# In  --> receive data = ff
2240
# In  --> StopTime = x, SE0StartTime = x
2241
# In  --> receive data = db
2242
# In  --> StopTime = x, SE0StartTime = x
2243
# In  --> EOP asserted for 2 bit time at time 1604537000
2244
# In  --> Data toggle recevied is 1001011 at time 1604621000
2245
# In  --> received byte[1] = 00000000
2246
# In  --> received byte[2] = 00000000
2247
# In  --> received byte[3] = 00000000
2248
# In  --> received byte[4] = 00000000
2249
# In  --> calculated crc is 24 at time 1604621000.
2250
# In  --> received raw crc is 24 at time 1604621000.
2251
# In  --> received crc is ffdb at time 1604621000.
2252
# In  --> tmpCrc ffdb, at time 1604621000
2253
# In  --> sending ACK at time 1606542000
2254
# RecvBuffer[0]  = 00000000  : 0
2255
# RecvBuffer[1]  = 00000000  : 0
2256
# RecvBuffer[2]  = 00000000  : 0
2257
# RecvBuffer[3]  = 00000000  : 0
2258
# In  --> In task wait for response at time 1613094000
2259
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1613399000
2260
# In  --> Decoder enabled at time 1614099000 in host
2261
# In  --> StopTime = x, SE0StartTime = x
2262
# In  --> receive data = d2
2263
# In  --> StopTime = x, SE0StartTime = x
2264
# In  --> EOP asserted for 2 bit time at time 1614911000
2265
# CntrlTransType = 10
2266
# In  --> In task wait for response at time 1626786000
2267
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1627091000
2268
# In  --> Decoder enabled at time 1627790000 in host
2269
# In  --> StopTime = x, SE0StartTime = x
2270
# In  --> receive data = d2
2271
# In  --> StopTime = x, SE0StartTime = x
2272
# In  --> EOP asserted for 2 bit time at time 1628603000
2273
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2274
# CntrlTransType = 10
2275
# In  --> In task wait for response at time 1631742000
2276
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1632131000
2277
# In  --> Decoder enabled at time 1632834000 in host
2278
# In  --> receive data = 4b
2279
# In  --> receive data = 00
2280
# In  --> receive data = 00
2281
# In  --> receive data = 00
2282
# In  --> receive data = f9
2283
# In  --> receive data = 3f
2284
# In  --> StopTime = x, SE0StartTime = x
2285
# In  --> receive data = 99
2286
# In  --> StopTime = x, SE0StartTime = x
2287
# In  --> EOP asserted for 2 bit time at time 1637717000
2288
# In  --> Data toggle recevied is 1001011 at time 1637801000
2289
# In  --> received byte[1] = 00000000
2290
# In  --> received byte[2] = 00000000
2291
# In  --> received byte[3] = 00000000
2292
# In  --> received byte[4] = 11111001
2293
# In  --> calculated crc is 366 at time 1637801000.
2294
# In  --> received raw crc is 366 at time 1637801000.
2295
# In  --> received crc is 3f99 at time 1637801000.
2296
# In  --> tmpCrc 3f99, at time 1637801000
2297
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  8c
2298
# ... Read Data from UART done cnt :        14...
2299
# In  --> sending ACK at time 1639722000
2300
# RecvBuffer[0]  = 00000000  : 0
2301
# RecvBuffer[1]  = 00000000  : 0
2302
# RecvBuffer[2]  = 00000000  : 0
2303
# RecvBuffer[3]  = 11111001  : 249
2304
# In  --> In task wait for response at time 1646274000
2305
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1646579000
2306
# In  --> Decoder enabled at time 1647276000 in host
2307
# In  --> StopTime = x, SE0StartTime = x
2308
# In  --> receive data = d2
2309
# In  --> StopTime = x, SE0StartTime = x
2310
# In  --> EOP asserted for 2 bit time at time 1648070000
2311
# ... Write data ce to UART done cnt :         24 ...
2312
#
2313
#
2314
# ... Writing char 232 ...
2315
# CntrlTransType = 11
2316
# In  --> In task wait for response at time 1659966000
2317
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1660250000
2318
# In  --> Decoder enabled at time 1660968000 in host
2319
# In  --> StopTime = x, SE0StartTime = x
2320
# In  --> receive data = d2
2321
# In  --> StopTime = x, SE0StartTime = x
2322
# In  --> EOP asserted for 2 bit time at time 1661762000
2323
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2324
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
2325
# In  --> DataToggle is 1
2326
# In  --> DataToggle is 4b at time 1665006000.
2327
# In  --> sending byte[1] = 00000000
2328
# In  --> sending byte[2] = 00000000
2329
# In  --> sending byte[3] = 00000000
2330
# In  --> sending byte[4] = 11111001
2331
# In  --> raw crc is 366 at time             1665006
2332
# In  --> sent crc is 3f99 at time             1665006
2333
# In  --> In task wait for response at time 1671054000
2334
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1671338000
2335
# In  --> Decoder enabled at time 1672055000 in host
2336
# In  --> StopTime = x, SE0StartTime = x
2337
# In  --> receive data = d2
2338
# In  --> StopTime = x, SE0StartTime = x
2339
# In  --> EOP asserted for 2 bit time at time 1672850000
2340
# In  --> bits received are 7
2341
# In  --> ACK received at time 1672850000.
2342
# Input Address:01, EndPt:0
2343
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
2344
# In  CntrlTransType = 11, WRITE = 11
2345
# In  --> In task wait for response at time 1676094000
2346
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1676462000
2347
# In  --> Decoder enabled at time 1677184000 in host
2348
# In  --> receive data = 4b
2349
# In  --> receive data = 00
2350
# In  --> StopTime = x, SE0StartTime = x
2351
# In  --> receive data = 00
2352
# In  --> StopTime = x, SE0StartTime = x
2353
# In  --> EOP asserted for 2 bit time at time 1679318000
2354
#
2355
# ... Reading the UART Status: 000000fb ...
2356
# CntrlTransType = 10
2357
# In  --> In task wait for response at time 1693146000
2358
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1693430000
2359
# In  --> Decoder enabled at time 1694166000 in host
2360
# In  --> StopTime = x, SE0StartTime = x
2361
# In  --> receive data = d2
2362
# In  --> StopTime = x, SE0StartTime = x
2363
# In  --> EOP asserted for 2 bit time at time 1694963000
2364
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2365
# CntrlTransType = 10
2366
# In  --> In task wait for response at time 1698102000
2367
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1698491000
2368
# In  --> Decoder enabled at time 1699188000 in host
2369
# In  --> receive data = 4b
2370
# In  --> receive data = 00
2371
# In  --> receive data = 00
2372
# In  --> receive data = 00
2373
# In  --> receive data = 00
2374
# In  --> receive data = ff
2375
# In  --> StopTime = x, SE0StartTime = x
2376
# In  --> receive data = db
2377
# In  --> StopTime = x, SE0StartTime = x
2378
# In  --> EOP asserted for 2 bit time at time 1704077000
2379
# In  --> Data toggle recevied is 1001011 at time 1704161000
2380
# In  --> received byte[1] = 00000000
2381
# In  --> received byte[2] = 00000000
2382
# In  --> received byte[3] = 00000000
2383
# In  --> received byte[4] = 00000000
2384
# In  --> calculated crc is 24 at time 1704161000.
2385
# In  --> received raw crc is 24 at time 1704161000.
2386
# In  --> received crc is ffdb at time 1704161000.
2387
# In  --> tmpCrc ffdb, at time 1704161000
2388
# In  --> sending ACK at time 1706082000
2389
# RecvBuffer[0]  = 00000000  : 0
2390
# RecvBuffer[1]  = 00000000  : 0
2391
# RecvBuffer[2]  = 00000000  : 0
2392
# RecvBuffer[3]  = 00000000  : 0
2393
# In  --> In task wait for response at time 1712634000
2394
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1712939000
2395
# In  --> Decoder enabled at time 1713654000 in host
2396
# In  --> StopTime = x, SE0StartTime = x
2397
# In  --> receive data = d2
2398
# In  --> StopTime = x, SE0StartTime = x
2399
# In  --> EOP asserted for 2 bit time at time 1714451000
2400
# ... Write data e8 to UART done cnt :         25 ...
2401
#
2402
#
2403
# ... Writing char 197 ...
2404
# CntrlTransType = 10
2405
# In  --> In task wait for response at time 1726326000
2406
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1726631000
2407
# In  --> Decoder enabled at time 1727346000 in host
2408
# In  --> StopTime = x, SE0StartTime = x
2409
# In  --> receive data = d2
2410
# In  --> StopTime = x, SE0StartTime = x
2411
# In  --> EOP asserted for 2 bit time at time 1728143000
2412
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2413
# CntrlTransType = 10
2414
# In  --> In task wait for response at time 1731282000
2415
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1731671000
2416
# In  --> Decoder enabled at time 1732366000 in host
2417
# In  --> receive data = 4b
2418
# In  --> receive data = 00
2419
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  f9
2420
# ... Read Data from UART done cnt :        15...
2421
# In  --> receive data = 00
2422
# In  --> receive data = 00
2423
# In  --> receive data = c6
2424
# In  --> receive data = 7f
2425
# In  --> StopTime = x, SE0StartTime = x
2426
# In  --> receive data = 89
2427
# In  --> StopTime = x, SE0StartTime = x
2428
# In  --> EOP asserted for 2 bit time at time 1737257000
2429
# In  --> Data toggle recevied is 1001011 at time 1737341000
2430
# In  --> received byte[1] = 00000000
2431
# In  --> received byte[2] = 00000000
2432
# In  --> received byte[3] = 00000000
2433
# In  --> received byte[4] = 11000110
2434
# In  --> calculated crc is 16e at time 1737341000.
2435
# In  --> received raw crc is 16e at time 1737341000.
2436
# In  --> received crc is 7f89 at time 1737341000.
2437
# In  --> tmpCrc 7f89, at time 1737341000
2438
# In  --> sending ACK at time 1739262000
2439
# RecvBuffer[0]  = 00000000  : 0
2440
# RecvBuffer[1]  = 00000000  : 0
2441
# RecvBuffer[2]  = 00000000  : 0
2442
# RecvBuffer[3]  = 11000110  : 198
2443
# In  --> In task wait for response at time 1745814000
2444
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1746119000
2445
# In  --> Decoder enabled at time 1746829000 in host
2446
# In  --> StopTime = x, SE0StartTime = x
2447
# In  --> receive data = d2
2448
# In  --> StopTime = x, SE0StartTime = x
2449
# In  --> EOP asserted for 2 bit time at time 1747631000
2450
# CntrlTransType = 11
2451
# In  --> In task wait for response at time 1759506000
2452
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1759811000
2453
# In  --> Decoder enabled at time 1760520000 in host
2454
# In  --> StopTime = x, SE0StartTime = x
2455
# In  --> receive data = d2
2456
# In  --> StopTime = x, SE0StartTime = x
2457
# In  --> EOP asserted for 2 bit time at time 1761323000
2458
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2459
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
2460
# In  --> DataToggle is 1
2461
# In  --> DataToggle is 4b at time 1764546000.
2462
# In  --> sending byte[1] = 00000000
2463
# In  --> sending byte[2] = 00000000
2464
# In  --> sending byte[3] = 00000000
2465
# In  --> sending byte[4] = 11000110
2466
# In  --> raw crc is 16e at time             1764546
2467
# In  --> sent crc is 7f89 at time             1764546
2468
# In  --> In task wait for response at time 1770594000
2469
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1770899000
2470
# In  --> Decoder enabled at time 1771607000 in host
2471
# In  --> StopTime = x, SE0StartTime = x
2472
# In  --> receive data = d2
2473
# In  --> StopTime = x, SE0StartTime = x
2474
# In  --> EOP asserted for 2 bit time at time 1772411000
2475
# In  --> bits received are 7
2476
# In  --> ACK received at time 1772411000.
2477
# Input Address:01, EndPt:0
2478
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
2479
# In  CntrlTransType = 11, WRITE = 11
2480
# In  --> In task wait for response at time 1775634000
2481
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1775939000
2482
# In  --> Decoder enabled at time 1776651000 in host
2483
# In  --> receive data = 4b
2484
# In  --> receive data = 00
2485
# In  --> StopTime = x, SE0StartTime = x
2486
# In  --> receive data = 00
2487
# In  --> StopTime = x, SE0StartTime = x
2488
# In  --> EOP asserted for 2 bit time at time 1778795000
2489
#
2490
# ... Reading the UART Status: 000000c6 ...
2491
# ... Write data c5 to UART done cnt :         26 ...
2492
#
2493
#
2494
# ... Writing char  92 ...
2495
# CntrlTransType = 10
2496
# In  --> In task wait for response at time 1792602000
2497
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1792907000
2498
# In  --> Decoder enabled at time 1793614000 in host
2499
# In  --> StopTime = x, SE0StartTime = x
2500
# In  --> receive data = d2
2501
# In  --> StopTime = x, SE0StartTime = x
2502
# In  --> EOP asserted for 2 bit time at time 1794419000
2503
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2504
# CntrlTransType = 10
2505
# In  --> In task wait for response at time 1797558000
2506
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1797947000
2507
# In  --> Decoder enabled at time 1798658000 in host
2508
# In  --> receive data = 4b
2509
# In  --> receive data = 00
2510
# In  --> receive data = 00
2511
# In  --> receive data = 00
2512
# In  --> receive data = 00
2513
# In  --> receive data = ff
2514
# In  --> StopTime = x, SE0StartTime = x
2515
# In  --> receive data = db
2516
# In  --> StopTime = x, SE0StartTime = x
2517
# In  --> EOP asserted for 2 bit time at time 1803554000
2518
# In  --> Data toggle recevied is 1001011 at time 1803638000
2519
# In  --> received byte[1] = 00000000
2520
# In  --> received byte[2] = 00000000
2521
# In  --> received byte[3] = 00000000
2522
# In  --> received byte[4] = 00000000
2523
# In  --> calculated crc is 24 at time 1803638000.
2524
# In  --> received raw crc is 24 at time 1803638000.
2525
# In  --> received crc is ffdb at time 1803638000.
2526
# In  --> tmpCrc ffdb, at time 1803638000
2527
# In  --> sending ACK at time 1805538000
2528
# RecvBuffer[0]  = 00000000  : 0
2529
# RecvBuffer[1]  = 00000000  : 0
2530
# RecvBuffer[2]  = 00000000  : 0
2531
# RecvBuffer[3]  = 00000000  : 0
2532
# In  --> In task wait for response at time 1812090000
2533
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1812374000
2534
# In  --> Decoder enabled at time 1813100000 in host
2535
# In  --> StopTime = x, SE0StartTime = x
2536
# In  --> receive data = d2
2537
# In  --> StopTime = x, SE0StartTime = x
2538
# In  --> EOP asserted for 2 bit time at time 1813886000
2539
# CntrlTransType = 10
2540
# In  --> In task wait for response at time 1825782000
2541
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1826066000
2542
# In  --> Decoder enabled at time 1826792000 in host
2543
# In  --> StopTime = x, SE0StartTime = x
2544
# In  --> receive data = d2
2545
# In  --> StopTime = x, SE0StartTime = x
2546
# In  --> EOP asserted for 2 bit time at time 1827578000
2547
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2548
# CntrlTransType = 10
2549
# In  --> In task wait for response at time 1830738000
2550
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1831106000
2551
# In  --> Decoder enabled at time 1831835000 in host
2552
# In  --> receive data = 4b
2553
# In  --> receive data = 00
2554
# In  --> receive data = 00
2555
# In  --> receive data = 00
2556
# In  --> receive data = c5
2557
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  c6
2558
# ... Read Data from UART done cnt :        16...
2559
# In  --> receive data = 3f
2560
# In  --> StopTime = x, SE0StartTime = x
2561
# In  --> receive data = 88
2562
# In  --> StopTime = x, SE0StartTime = x
2563
# In  --> EOP asserted for 2 bit time at time 1836713000
2564
# In  --> Data toggle recevied is 1001011 at time 1836797000
2565
# In  --> received byte[1] = 00000000
2566
# In  --> received byte[2] = 00000000
2567
# In  --> received byte[3] = 00000000
2568
# In  --> received byte[4] = 11000101
2569
# In  --> calculated crc is 3ee at time 1836797000.
2570
# In  --> received raw crc is 3ee at time 1836797000.
2571
# In  --> received crc is 3f88 at time 1836797000.
2572
# In  --> tmpCrc 3f88, at time 1836797000
2573
# In  --> sending ACK at time 1838718000
2574
# RecvBuffer[0]  = 00000000  : 0
2575
# RecvBuffer[1]  = 00000000  : 0
2576
# RecvBuffer[2]  = 00000000  : 0
2577
# RecvBuffer[3]  = 11000101  : 197
2578
# In  --> In task wait for response at time 1845270000
2579
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1845575000
2580
# ... Write data 5c to UART done cnt :         27 ...
2581
#
2582
#
2583
# ... Writing char 189 ...
2584
# In  --> Decoder enabled at time 1846280000 in host
2585
# In  --> StopTime = x, SE0StartTime = x
2586
# In  --> receive data = d2
2587
# In  --> StopTime = x, SE0StartTime = x
2588
# In  --> EOP asserted for 2 bit time at time 1847087000
2589
# CntrlTransType = 11
2590
# In  --> In task wait for response at time 1858962000
2591
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1859267000
2592
# In  --> Decoder enabled at time 1859969000 in host
2593
# In  --> StopTime = x, SE0StartTime = x
2594
# In  --> receive data = d2
2595
# In  --> StopTime = x, SE0StartTime = x
2596
# In  --> EOP asserted for 2 bit time at time 1860779000
2597
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2598
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
2599
# In  --> DataToggle is 1
2600
# In  --> DataToggle is 4b at time 1864002000.
2601
# In  --> sending byte[1] = 00000000
2602
# In  --> sending byte[2] = 00000000
2603
# In  --> sending byte[3] = 00000000
2604
# In  --> sending byte[4] = 11000101
2605
# In  --> raw crc is 3ee at time             1864002
2606
# In  --> sent crc is 3f88 at time             1864002
2607
# In  --> In task wait for response at time 1870050000
2608
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1870355000
2609
# In  --> Decoder enabled at time 1871056000 in host
2610
# In  --> StopTime = x, SE0StartTime = x
2611
# In  --> receive data = d2
2612
# In  --> StopTime = x, SE0StartTime = x
2613
# In  --> EOP asserted for 2 bit time at time 1871867000
2614
# In  --> bits received are 7
2615
# In  --> ACK received at time 1871867000.
2616
# Input Address:01, EndPt:0
2617
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
2618
# In  CntrlTransType = 11, WRITE = 11
2619
# In  --> In task wait for response at time 1875090000
2620
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1875479000
2621
# In  --> Decoder enabled at time 1876182000 in host
2622
# In  --> receive data = 4b
2623
# In  --> receive data = 00
2624
# In  --> StopTime = x, SE0StartTime = x
2625
# In  --> receive data = 00
2626
# In  --> StopTime = x, SE0StartTime = x
2627
# In  --> EOP asserted for 2 bit time at time 1878314000
2628
#
2629
# ... Reading the UART Status: 000000c7 ...
2630
# CntrlTransType = 10
2631
# In  --> In task wait for response at time 1892142000
2632
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1892426000
2633
# In  --> Decoder enabled at time 1893149000 in host
2634
# In  --> StopTime = x, SE0StartTime = x
2635
# In  --> receive data = d2
2636
# In  --> StopTime = x, SE0StartTime = x
2637
# In  --> EOP asserted for 2 bit time at time 1893938000
2638
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2639
# CntrlTransType = 10
2640
# In  --> In task wait for response at time 1897098000
2641
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1897466000
2642
# In  --> Decoder enabled at time 1898189000 in host
2643
# In  --> receive data = 4b
2644
# In  --> receive data = 00
2645
# In  --> receive data = 00
2646
# In  --> receive data = 00
2647
# In  --> receive data = 00
2648
# In  --> receive data = ff
2649
# In  --> StopTime = x, SE0StartTime = x
2650
# In  --> receive data = db
2651
# In  --> StopTime = x, SE0StartTime = x
2652
# In  --> EOP asserted for 2 bit time at time 1903073000
2653
# In  --> Data toggle recevied is 1001011 at time 1903157000
2654
# In  --> received byte[1] = 00000000
2655
# In  --> received byte[2] = 00000000
2656
# In  --> received byte[3] = 00000000
2657
# In  --> received byte[4] = 00000000
2658
# In  --> calculated crc is 24 at time 1903157000.
2659
# In  --> received raw crc is 24 at time 1903157000.
2660
# In  --> received crc is ffdb at time 1903157000.
2661
# In  --> tmpCrc ffdb, at time 1903157000
2662
# In  --> sending ACK at time 1905078000
2663
# RecvBuffer[0]  = 00000000  : 0
2664
# RecvBuffer[1]  = 00000000  : 0
2665
# RecvBuffer[2]  = 00000000  : 0
2666
# RecvBuffer[3]  = 00000000  : 0
2667
# ... Write data bd to UART done cnt :         28 ...
2668
#
2669
#
2670
# ... Writing char  45 ...
2671
# In  --> In task wait for response at time 1911630000
2672
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1911935000
2673
# In  --> Decoder enabled at time 1912632000 in host
2674
# In  --> StopTime = x, SE0StartTime = x
2675
# In  --> receive data = d2
2676
# In  --> StopTime = x, SE0StartTime = x
2677
# In  --> EOP asserted for 2 bit time at time 1913426000
2678
# CntrlTransType = 10
2679
# In  --> In task wait for response at time 1925322000
2680
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1925606000
2681
# In  --> Decoder enabled at time 1926323000 in host
2682
# In  --> StopTime = x, SE0StartTime = x
2683
# In  --> receive data = d2
2684
# In  --> StopTime = x, SE0StartTime = x
2685
# In  --> EOP asserted for 2 bit time at time 1927118000
2686
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2687
# CntrlTransType = 10
2688
# In  --> In task wait for response at time 1930278000
2689
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1930646000
2690
# In  --> Decoder enabled at time 1931367000 in host
2691
# In  --> receive data = 4b
2692
# In  --> receive data = 00
2693
# In  --> receive data = 00
2694
# In  --> receive data = 00
2695
# In  --> receive data = aa
2696
# In  --> receive data = 7f
2697
# In  --> StopTime = x, SE0StartTime = x
2698
# In  --> receive data = a4
2699
# In  --> StopTime = x, SE0StartTime = x
2700
# In  --> EOP asserted for 2 bit time at time 1936253000
2701
# In  --> Data toggle recevied is 1001011 at time 1936337000
2702
# In  --> received byte[1] = 00000000
2703
# In  --> received byte[2] = 00000000
2704
# In  --> received byte[3] = 00000000
2705
# In  --> received byte[4] = 10101010
2706
# In  --> calculated crc is 1da at time 1936337000.
2707
# In  --> received raw crc is 1da at time 1936337000.
2708
# In  --> received crc is 7fa4 at time 1936337000.
2709
# In  --> tmpCrc 7fa4, at time 1936337000
2710
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  c5
2711
# ... Read Data from UART done cnt :        17...
2712
# In  --> sending ACK at time 1938258000
2713
# RecvBuffer[0]  = 00000000  : 0
2714
# RecvBuffer[1]  = 00000000  : 0
2715
# RecvBuffer[2]  = 00000000  : 0
2716
# RecvBuffer[3]  = 10101010  : 170
2717
# In  --> In task wait for response at time 1944810000
2718
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1945115000
2719
# In  --> Decoder enabled at time 1945830000 in host
2720
# In  --> StopTime = x, SE0StartTime = x
2721
# In  --> receive data = d2
2722
# In  --> StopTime = x, SE0StartTime = x
2723
# In  --> EOP asserted for 2 bit time at time 1946627000
2724
# CntrlTransType = 11
2725
# In  --> In task wait for response at time 1958502000
2726
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1958807000
2727
# In  --> Decoder enabled at time 1959522000 in host
2728
# In  --> StopTime = x, SE0StartTime = x
2729
# In  --> receive data = d2
2730
# In  --> StopTime = x, SE0StartTime = x
2731
# In  --> EOP asserted for 2 bit time at time 1960319000
2732
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2733
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
2734
# In  --> DataToggle is 1
2735
# In  --> DataToggle is 4b at time 1963542000.
2736
# In  --> sending byte[1] = 00000000
2737
# In  --> sending byte[2] = 00000000
2738
# In  --> sending byte[3] = 00000000
2739
# In  --> sending byte[4] = 10101010
2740
# In  --> raw crc is 1da at time             1963542
2741
# In  --> sent crc is 7fa4 at time             1963542
2742
# In  --> In task wait for response at time 1969590000
2743
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1969895000
2744
# In  --> Decoder enabled at time 1970608000 in host
2745
# In  --> StopTime = x, SE0StartTime = x
2746
# In  --> receive data = d2
2747
# In  --> StopTime = x, SE0StartTime = x
2748
# In  --> EOP asserted for 2 bit time at time 1971407000
2749
# In  --> bits received are 7
2750
# In  --> ACK received at time 1971407000.
2751
# Input Address:01, EndPt:0
2752
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
2753
# In  CntrlTransType = 11, WRITE = 11
2754
# ... Write data 2d to UART done cnt :         29 ...
2755
#
2756
#
2757
# ... Writing char 101 ...
2758
# In  --> In task wait for response at time 1974630000
2759
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1975019000
2760
# In  --> Decoder enabled at time 1975717000 in host
2761
# In  --> receive data = 4b
2762
# In  --> receive data = 00
2763
# In  --> StopTime = x, SE0StartTime = x
2764
# In  --> receive data = 00
2765
# In  --> StopTime = x, SE0StartTime = x
2766
# In  --> EOP asserted for 2 bit time at time 1977854000
2767
#
2768
# ... Reading the UART Status: 000000aa ...
2769
# CntrlTransType = 10
2770
# In  --> In task wait for response at time 1991682000
2771
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1991966000
2772
# In  --> Decoder enabled at time 1992699000 in host
2773
# In  --> StopTime = x, SE0StartTime = x
2774
# In  --> receive data = d2
2775
# In  --> StopTime = x, SE0StartTime = x
2776
# In  --> EOP asserted for 2 bit time at time 1993499000
2777
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2778
# CntrlTransType = 10
2779
# In  --> In task wait for response at time 1996638000
2780
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 1997027000
2781
# In  --> Decoder enabled at time 1997721000 in host
2782
# In  --> receive data = 4b
2783
# In  --> receive data = 00
2784
# In  --> receive data = 00
2785
# In  --> receive data = 00
2786
# In  --> receive data = 00
2787
# In  --> receive data = ff
2788
# In  --> StopTime = x, SE0StartTime = x
2789
# In  --> receive data = db
2790
# In  --> StopTime = x, SE0StartTime = x
2791
# In  --> EOP asserted for 2 bit time at time 2002613000
2792
# In  --> Data toggle recevied is 1001011 at time 2002697000
2793
# In  --> received byte[1] = 00000000
2794
# In  --> received byte[2] = 00000000
2795
# In  --> received byte[3] = 00000000
2796
# In  --> received byte[4] = 00000000
2797
# In  --> calculated crc is 24 at time 2002697000.
2798
# In  --> received raw crc is 24 at time 2002697000.
2799
# In  --> received crc is ffdb at time 2002697000.
2800
# In  --> tmpCrc ffdb, at time 2002697000
2801
# In  --> sending ACK at time 2004618000
2802
# RecvBuffer[0]  = 00000000  : 0
2803
# RecvBuffer[1]  = 00000000  : 0
2804
# RecvBuffer[2]  = 00000000  : 0
2805
# RecvBuffer[3]  = 00000000  : 0
2806
# In  --> In task wait for response at time 2011170000
2807
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2011475000
2808
# In  --> Decoder enabled at time 2012187000 in host
2809
# In  --> StopTime = x, SE0StartTime = x
2810
# In  --> receive data = d2
2811
# In  --> StopTime = x, SE0StartTime = x
2812
# In  --> EOP asserted for 2 bit time at time 2012987000
2813
# CntrlTransType = 10
2814
# In  --> In task wait for response at time 2024862000
2815
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2025167000
2816
# In  --> Decoder enabled at time 2025879000 in host
2817
# In  --> StopTime = x, SE0StartTime = x
2818
# In  --> receive data = d2
2819
# In  --> StopTime = x, SE0StartTime = x
2820
# In  --> EOP asserted for 2 bit time at time 2026679000
2821
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2822
# CntrlTransType = 10
2823
# In  --> In task wait for response at time 2029818000
2824
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2030123000
2825
# In  --> Decoder enabled at time 2030839000 in host
2826
# In  --> receive data = 4b
2827
# In  --> receive data = 00
2828
# In  --> receive data = 00
2829
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  aa
2830
# ... Read Data from UART done cnt :        18...
2831
# In  --> receive data = 00
2832
# In  --> receive data = e5
2833
# In  --> receive data = 3e
2834
# In  --> StopTime = x, SE0StartTime = x
2835
# In  --> receive data = 50
2836
# In  --> StopTime = x, SE0StartTime = x
2837
# In  --> EOP asserted for 2 bit time at time 2035646000
2838
# In  --> Data toggle recevied is 1001011 at time 2035730000
2839
# In  --> received byte[1] = 00000000
2840
# In  --> received byte[2] = 00000000
2841
# In  --> received byte[3] = 00000000
2842
# In  --> received byte[4] = 11100101
2843
# In  --> calculated crc is 83f5 at time 2035730000.
2844
# In  --> received raw crc is 83f5 at time 2035730000.
2845
# In  --> received crc is 3e50 at time 2035730000.
2846
# In  --> tmpCrc 3e50, at time 2035730000
2847
# In  --> sending ACK at time 2037630000
2848
# RecvBuffer[0]  = 00000000  : 0
2849
# RecvBuffer[1]  = 00000000  : 0
2850
# RecvBuffer[2]  = 00000000  : 0
2851
# RecvBuffer[3]  = 11100101  : 229
2852
# ... Write data 65 to UART done cnt :         30 ...
2853
#
2854
#
2855
# ... Writing char  99 ...
2856
# In  --> In task wait for response at time 2044182000
2857
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2044466000
2858
# In  --> Decoder enabled at time 2045195000 in host
2859
# In  --> StopTime = x, SE0StartTime = x
2860
# In  --> receive data = d2
2861
# In  --> StopTime = x, SE0StartTime = x
2862
# In  --> EOP asserted for 2 bit time at time 2045999000
2863
# CntrlTransType = 11
2864
# In  --> In task wait for response at time 2057874000
2865
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2058179000
2866
# In  --> Decoder enabled at time 2058887000 in host
2867
# In  --> StopTime = x, SE0StartTime = x
2868
# In  --> receive data = d2
2869
# In  --> StopTime = x, SE0StartTime = x
2870
# In  --> EOP asserted for 2 bit time at time 2059691000
2871
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2872
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
2873
# In  --> DataToggle is 1
2874
# In  --> DataToggle is 4b at time 2062914000.
2875
# In  --> sending byte[1] = 00000000
2876
# In  --> sending byte[2] = 00000000
2877
# In  --> sending byte[3] = 00000000
2878
# In  --> sending byte[4] = 11100101
2879
# In  --> raw crc is 83f5 at time             2062914
2880
# In  --> sent crc is 3e50 at time             2062914
2881
# In  --> In task wait for response at time 2068878000
2882
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2069183000
2883
# In  --> Decoder enabled at time 2069893000 in host
2884
# In  --> StopTime = x, SE0StartTime = x
2885
# In  --> receive data = d2
2886
# In  --> StopTime = x, SE0StartTime = x
2887
# In  --> EOP asserted for 2 bit time at time 2070695000
2888
# In  --> bits received are 7
2889
# In  --> ACK received at time 2070695000.
2890
# Input Address:01, EndPt:0
2891
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
2892
# In  CntrlTransType = 11, WRITE = 11
2893
# In  --> In task wait for response at time 2073918000
2894
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2074307000
2895
# In  --> Decoder enabled at time 2075017000 in host
2896
# In  --> receive data = 4b
2897
# In  --> receive data = 00
2898
# In  --> StopTime = x, SE0StartTime = x
2899
# In  --> receive data = 00
2900
# In  --> StopTime = x, SE0StartTime = x
2901
# In  --> EOP asserted for 2 bit time at time 2077163000
2902
#
2903
# ... Reading the UART Status: 000000e7 ...
2904
# CntrlTransType = 10
2905
# In  --> In task wait for response at time 2090970000
2906
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2091275000
2907
# In  --> Decoder enabled at time 2091981000 in host
2908
# In  --> StopTime = x, SE0StartTime = x
2909
# In  --> receive data = d2
2910
# In  --> StopTime = x, SE0StartTime = x
2911
# In  --> EOP asserted for 2 bit time at time 2092787000
2912
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2913
# CntrlTransType = 10
2914
# In  --> In task wait for response at time 2095926000
2915
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2096315000
2916
# In  --> Decoder enabled at time 2097024000 in host
2917
# In  --> receive data = 4b
2918
# In  --> receive data = 00
2919
# In  --> receive data = 00
2920
# In  --> receive data = 00
2921
# In  --> receive data = 00
2922
# In  --> receive data = ff
2923
# In  --> StopTime = x, SE0StartTime = x
2924
# In  --> receive data = db
2925
# In  --> StopTime = x, SE0StartTime = x
2926
# In  --> EOP asserted for 2 bit time at time 2101922000
2927
# In  --> Data toggle recevied is 1001011 at time 2102006000
2928
# In  --> received byte[1] = 00000000
2929
# In  --> received byte[2] = 00000000
2930
# In  --> received byte[3] = 00000000
2931
# In  --> received byte[4] = 00000000
2932
# In  --> calculated crc is 24 at time 2102006000.
2933
# In  --> received raw crc is 24 at time 2102006000.
2934
# In  --> received crc is ffdb at time 2102006000.
2935
# In  --> tmpCrc ffdb, at time 2102006000
2936
# ... Write data 63 to UART done cnt :         31 ...
2937
#
2938
#
2939
# ... Writing char  10 ...
2940
# In  --> sending ACK at time 2103906000
2941
# RecvBuffer[0]  = 00000000  : 0
2942
# RecvBuffer[1]  = 00000000  : 0
2943
# RecvBuffer[2]  = 00000000  : 0
2944
# RecvBuffer[3]  = 00000000  : 0
2945
# In  --> In task wait for response at time 2110458000
2946
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2110742000
2947
# In  --> Decoder enabled at time 2111469000 in host
2948
# In  --> StopTime = x, SE0StartTime = x
2949
# In  --> receive data = d2
2950
# In  --> StopTime = x, SE0StartTime = x
2951
# In  --> EOP asserted for 2 bit time at time 2112254000
2952
# CntrlTransType = 10
2953
# In  --> In task wait for response at time 2124150000
2954
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2124434000
2955
# In  --> Decoder enabled at time 2125158000 in host
2956
# In  --> StopTime = x, SE0StartTime = x
2957
# In  --> receive data = d2
2958
# In  --> StopTime = x, SE0StartTime = x
2959
# In  --> EOP asserted for 2 bit time at time 2125946000
2960
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
2961
# CntrlTransType = 10
2962
# In  --> In task wait for response at time 2129106000
2963
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2129474000
2964
# In  --> Decoder enabled at time 2130204000 in host
2965
# In  --> receive data = 4b
2966
# In  --> receive data = 00
2967
# In  --> receive data = 00
2968
# In  --> receive data = 00
2969
# In  --> receive data = 77
2970
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  e5
2971
# ... Read Data from UART done cnt :        19...
2972
# In  --> receive data = bf
2973
# In  --> StopTime = x, SE0StartTime = x
2974
# In  --> receive data = fd
2975
# In  --> StopTime = x, SE0StartTime = x
2976
# In  --> EOP asserted for 2 bit time at time 2135081000
2977
# In  --> Data toggle recevied is 1001011 at time 2135165000
2978
# In  --> received byte[1] = 00000000
2979
# In  --> received byte[2] = 00000000
2980
# In  --> received byte[3] = 00000000
2981
# In  --> received byte[4] = 01110111
2982
# In  --> calculated crc is 240 at time 2135165000.
2983
# In  --> received raw crc is 240 at time 2135165000.
2984
# In  --> received crc is bffd at time 2135165000.
2985
# In  --> tmpCrc bffd, at time 2135165000
2986
# In  --> sending ACK at time 2137086000
2987
# RecvBuffer[0]  = 00000000  : 0
2988
# RecvBuffer[1]  = 00000000  : 0
2989
# RecvBuffer[2]  = 00000000  : 0
2990
# RecvBuffer[3]  = 01110111  : 119
2991
# In  --> In task wait for response at time 2143638000
2992
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2143943000
2993
# In  --> Decoder enabled at time 2144643000 in host
2994
# In  --> StopTime = x, SE0StartTime = x
2995
# In  --> receive data = d2
2996
# In  --> StopTime = x, SE0StartTime = x
2997
# In  --> EOP asserted for 2 bit time at time 2145455000
2998
# CntrlTransType = 11
2999
# In  --> In task wait for response at time 2157330000
3000
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2157635000
3001
# In  --> Decoder enabled at time 2158338000 in host
3002
# In  --> StopTime = x, SE0StartTime = x
3003
# In  --> receive data = d2
3004
# In  --> StopTime = x, SE0StartTime = x
3005
# In  --> EOP asserted for 2 bit time at time 2159147000
3006
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3007
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3008
# In  --> DataToggle is 1
3009
# In  --> DataToggle is 4b at time 2162370000.
3010
# In  --> sending byte[1] = 00000000
3011
# In  --> sending byte[2] = 00000000
3012
# In  --> sending byte[3] = 00000000
3013
# In  --> sending byte[4] = 01110111
3014
# In  --> raw crc is 240 at time             2162370
3015
# In  --> sent crc is bffd at time             2162370
3016
# ... Write data 0a to UART done cnt :         32 ...
3017
#
3018
#
3019
# ... Writing char 128 ...
3020
# In  --> In task wait for response at time 2168502000
3021
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2168807000
3022
# In  --> Decoder enabled at time 2169505000 in host
3023
# In  --> StopTime = x, SE0StartTime = x
3024
# In  --> receive data = d2
3025
# In  --> StopTime = x, SE0StartTime = x
3026
# In  --> EOP asserted for 2 bit time at time 2170319000
3027
# In  --> bits received are 7
3028
# In  --> ACK received at time 2170319000.
3029
# Input Address:01, EndPt:0
3030
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
3031
# In  CntrlTransType = 11, WRITE = 11
3032
# In  --> In task wait for response at time 2173542000
3033
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2173931000
3034
# In  --> Decoder enabled at time 2174635000 in host
3035
# In  --> receive data = 4b
3036
# In  --> receive data = 00
3037
# In  --> StopTime = x, SE0StartTime = x
3038
# In  --> receive data = 00
3039
# In  --> StopTime = x, SE0StartTime = x
3040
# In  --> EOP asserted for 2 bit time at time 2176766000
3041
#
3042
# ... Reading the UART Status: 00000077 ...
3043
# CntrlTransType = 10
3044
# In  --> In task wait for response at time 2190594000
3045
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2190878000
3046
# In  --> Decoder enabled at time 2191596000 in host
3047
# In  --> StopTime = x, SE0StartTime = x
3048
# In  --> receive data = d2
3049
# In  --> StopTime = x, SE0StartTime = x
3050
# In  --> EOP asserted for 2 bit time at time 2192390000
3051
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3052
# CntrlTransType = 10
3053
# In  --> In task wait for response at time 2195550000
3054
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2195918000
3055
# In  --> Decoder enabled at time 2196642000 in host
3056
# In  --> receive data = 4b
3057
# In  --> receive data = 00
3058
# In  --> receive data = 00
3059
# In  --> receive data = 00
3060
# In  --> receive data = 00
3061
# In  --> receive data = ff
3062
# In  --> StopTime = x, SE0StartTime = x
3063
# In  --> receive data = db
3064
# In  --> StopTime = x, SE0StartTime = x
3065
# In  --> EOP asserted for 2 bit time at time 2201525000
3066
# In  --> Data toggle recevied is 1001011 at time 2201609000
3067
# In  --> received byte[1] = 00000000
3068
# In  --> received byte[2] = 00000000
3069
# In  --> received byte[3] = 00000000
3070
# In  --> received byte[4] = 00000000
3071
# In  --> calculated crc is 24 at time 2201609000.
3072
# In  --> received raw crc is 24 at time 2201609000.
3073
# In  --> received crc is ffdb at time 2201609000.
3074
# In  --> tmpCrc ffdb, at time 2201609000
3075
# In  --> sending ACK at time 2203530000
3076
# RecvBuffer[0]  = 00000000  : 0
3077
# RecvBuffer[1]  = 00000000  : 0
3078
# RecvBuffer[2]  = 00000000  : 0
3079
# RecvBuffer[3]  = 00000000  : 0
3080
# In  --> In task wait for response at time 2210082000
3081
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2210387000
3082
# In  --> Decoder enabled at time 2211105000 in host
3083
# In  --> StopTime = x, SE0StartTime = x
3084
# In  --> receive data = d2
3085
# In  --> StopTime = x, SE0StartTime = x
3086
# In  --> EOP asserted for 2 bit time at time 2211899000
3087
# CntrlTransType = 10
3088
# In  --> In task wait for response at time 2223774000
3089
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2224079000
3090
# In  --> Decoder enabled at time 2224797000 in host
3091
# In  --> StopTime = x, SE0StartTime = x
3092
# In  --> receive data = d2
3093
# In  --> StopTime = x, SE0StartTime = x
3094
# In  --> EOP asserted for 2 bit time at time 2225591000
3095
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3096
# CntrlTransType = 10
3097
# In  --> In task wait for response at time 2228730000
3098
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2229119000
3099
# In  --> Decoder enabled at time 2229816000 in host
3100
# ... Write data 80 to UART done cnt :         33 ...
3101
#
3102
#
3103
# ... Writing char  32 ...
3104
# In  --> receive data = 4b
3105
# In  --> receive data = 00
3106
# In  --> receive data = 00
3107
# In  --> receive data = 00
3108
# In  --> receive data = 12
3109
# In  --> receive data = 7f
3110
# In  --> StopTime = x, SE0StartTime = x
3111
# In  --> receive data = d6
3112
# In  --> StopTime = x, SE0StartTime = x
3113
# In  --> EOP asserted for 2 bit time at time 2234705000
3114
# In  --> Data toggle recevied is 1001011 at time 2234789000
3115
# In  --> received byte[1] = 00000000
3116
# In  --> received byte[2] = 00000000
3117
# In  --> received byte[3] = 00000000
3118
# In  --> received byte[4] = 00010010
3119
# In  --> calculated crc is 194 at time 2234789000.
3120
# In  --> received raw crc is 194 at time 2234789000.
3121
# In  --> received crc is 7fd6 at time 2234789000.
3122
# In  --> tmpCrc 7fd6, at time 2234789000
3123
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  77
3124
# ... Read Data from UART done cnt :        20...
3125
# In  --> sending ACK at time 2236710000
3126
# RecvBuffer[0]  = 00000000  : 0
3127
# RecvBuffer[1]  = 00000000  : 0
3128
# RecvBuffer[2]  = 00000000  : 0
3129
# RecvBuffer[3]  = 00010010  : 18
3130
# In  --> In task wait for response at time 2243262000
3131
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2243567000
3132
# In  --> Decoder enabled at time 2244279000 in host
3133
# In  --> StopTime = x, SE0StartTime = x
3134
# In  --> receive data = d2
3135
# In  --> StopTime = x, SE0StartTime = x
3136
# In  --> EOP asserted for 2 bit time at time 2245079000
3137
# CntrlTransType = 11
3138
# In  --> In task wait for response at time 2256954000
3139
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2257259000
3140
# In  --> Decoder enabled at time 2257974000 in host
3141
# In  --> StopTime = x, SE0StartTime = x
3142
# In  --> receive data = d2
3143
# In  --> StopTime = x, SE0StartTime = x
3144
# In  --> EOP asserted for 2 bit time at time 2258771000
3145
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3146
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3147
# In  --> DataToggle is 1
3148
# In  --> DataToggle is 4b at time 2261994000.
3149
# In  --> sending byte[1] = 00000000
3150
# In  --> sending byte[2] = 00000000
3151
# In  --> sending byte[3] = 00000000
3152
# In  --> sending byte[4] = 00010010
3153
# In  --> raw crc is 194 at time             2261994
3154
# In  --> sent crc is 7fd6 at time             2261994
3155
# In  --> In task wait for response at time 2268042000
3156
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2268347000
3157
# In  --> Decoder enabled at time 2269061000 in host
3158
# In  --> StopTime = x, SE0StartTime = x
3159
# In  --> receive data = d2
3160
# In  --> StopTime = x, SE0StartTime = x
3161
# In  --> EOP asserted for 2 bit time at time 2269859000
3162
# In  --> bits received are 7
3163
# In  --> ACK received at time 2269859000.
3164
# Input Address:01, EndPt:0
3165
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
3166
# In  CntrlTransType = 11, WRITE = 11
3167
# In  --> In task wait for response at time 2273082000
3168
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2273471000
3169
# In  --> Decoder enabled at time 2274185000 in host
3170
# In  --> receive data = 4b
3171
# In  --> receive data = 00
3172
# In  --> StopTime = x, SE0StartTime = x
3173
# In  --> receive data = 00
3174
# In  --> StopTime = x, SE0StartTime = x
3175
# In  --> EOP asserted for 2 bit time at time 2276327000
3176
#
3177
# ... Reading the UART Status: 00000012 ...
3178
# CntrlTransType = 10
3179
# In  --> In task wait for response at time 2290134000
3180
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2290439000
3181
# In  --> Decoder enabled at time 2291149000 in host
3182
# In  --> StopTime = x, SE0StartTime = x
3183
# In  --> receive data = d2
3184
# In  --> StopTime = x, SE0StartTime = x
3185
# In  --> EOP asserted for 2 bit time at time 2291951000
3186
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3187
# CntrlTransType = 10
3188
# ... Write data 20 to UART done cnt :         34 ...
3189
#
3190
#
3191
# ... Writing char 170 ...
3192
# In  --> In task wait for response at time 2295090000
3193
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2295395000
3194
# In  --> Decoder enabled at time 2296108000 in host
3195
# In  --> receive data = 4b
3196
# In  --> receive data = 00
3197
# In  --> receive data = 00
3198
# In  --> receive data = 00
3199
# In  --> receive data = 00
3200
# In  --> receive data = ff
3201
# In  --> StopTime = x, SE0StartTime = x
3202
# In  --> receive data = db
3203
# In  --> StopTime = x, SE0StartTime = x
3204
# In  --> EOP asserted for 2 bit time at time 2301002000
3205
# In  --> Data toggle recevied is 1001011 at time 2301086000
3206
# In  --> received byte[1] = 00000000
3207
# In  --> received byte[2] = 00000000
3208
# In  --> received byte[3] = 00000000
3209
# In  --> received byte[4] = 00000000
3210
# In  --> calculated crc is 24 at time 2301086000.
3211
# In  --> received raw crc is 24 at time 2301086000.
3212
# In  --> received crc is ffdb at time 2301086000.
3213
# In  --> tmpCrc ffdb, at time 2301086000
3214
# In  --> sending ACK at time 2302986000
3215
# RecvBuffer[0]  = 00000000  : 0
3216
# RecvBuffer[1]  = 00000000  : 0
3217
# RecvBuffer[2]  = 00000000  : 0
3218
# RecvBuffer[3]  = 00000000  : 0
3219
# In  --> In task wait for response at time 2309538000
3220
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2309822000
3221
# In  --> Decoder enabled at time 2310551000 in host
3222
# In  --> StopTime = x, SE0StartTime = x
3223
# In  --> receive data = d2
3224
# In  --> StopTime = x, SE0StartTime = x
3225
# In  --> EOP asserted for 2 bit time at time 2311355000
3226
# CntrlTransType = 10
3227
# In  --> In task wait for response at time 2323230000
3228
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2323535000
3229
# In  --> Decoder enabled at time 2324242000 in host
3230
# In  --> StopTime = x, SE0StartTime = x
3231
# In  --> receive data = d2
3232
# In  --> StopTime = x, SE0StartTime = x
3233
# In  --> EOP asserted for 2 bit time at time 2325047000
3234
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3235
# CntrlTransType = 10
3236
# In  --> In task wait for response at time 2328186000
3237
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2328575000
3238
# In  --> Decoder enabled at time 2329286000 in host
3239
# In  --> receive data = 4b
3240
# In  --> receive data = 00
3241
# In  --> receive data = 00
3242
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  12
3243
# ... Read Data from UART done cnt :        21...
3244
# In  --> receive data = 00
3245
# In  --> receive data = 8f
3246
# In  --> receive data = be
3247
# In  --> StopTime = x, SE0StartTime = x
3248
# In  --> receive data = 7f
3249
# In  --> StopTime = x, SE0StartTime = x
3250
# In  --> EOP asserted for 2 bit time at time 2334182000
3251
# In  --> Data toggle recevied is 1001011 at time 2334266000
3252
# In  --> received byte[1] = 00000000
3253
# In  --> received byte[2] = 00000000
3254
# In  --> received byte[3] = 00000000
3255
# In  --> received byte[4] = 10001111
3256
# In  --> calculated crc is 8201 at time 2334266000.
3257
# In  --> received raw crc is 8201 at time 2334266000.
3258
# In  --> received crc is be7f at time 2334266000.
3259
# In  --> tmpCrc be7f, at time 2334266000
3260
# In  --> sending ACK at time 2336166000
3261
# RecvBuffer[0]  = 00000000  : 0
3262
# RecvBuffer[1]  = 00000000  : 0
3263
# RecvBuffer[2]  = 00000000  : 0
3264
# RecvBuffer[3]  = 10001111  : 143
3265
# In  --> In task wait for response at time 2342718000
3266
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2343002000
3267
# In  --> Decoder enabled at time 2343728000 in host
3268
# In  --> StopTime = x, SE0StartTime = x
3269
# In  --> receive data = d2
3270
# In  --> StopTime = x, SE0StartTime = x
3271
# In  --> EOP asserted for 2 bit time at time 2344514000
3272
# CntrlTransType = 11
3273
# In  --> In task wait for response at time 2356410000
3274
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2356694000
3275
# In  --> Decoder enabled at time 2357420000 in host
3276
# In  --> StopTime = x, SE0StartTime = x
3277
# In  --> receive data = d2
3278
# In  --> StopTime = x, SE0StartTime = x
3279
# In  --> EOP asserted for 2 bit time at time 2358206000
3280
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3281
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3282
# ... Write data aa to UART done cnt :         35 ...
3283
#
3284
#
3285
# ... Writing char 157 ...
3286
# In  --> DataToggle is 1
3287
# In  --> DataToggle is 4b at time 2361450000.
3288
# In  --> sending byte[1] = 00000000
3289
# In  --> sending byte[2] = 00000000
3290
# In  --> sending byte[3] = 00000000
3291
# In  --> sending byte[4] = 10001111
3292
# In  --> raw crc is 8201 at time             2361450
3293
# In  --> sent crc is be7f at time             2361450
3294
# In  --> In task wait for response at time 2367498000
3295
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2367782000
3296
# In  --> Decoder enabled at time 2368507000 in host
3297
# In  --> StopTime = x, SE0StartTime = x
3298
# In  --> receive data = d2
3299
# In  --> StopTime = x, SE0StartTime = x
3300
# In  --> EOP asserted for 2 bit time at time 2369294000
3301
# In  --> bits received are 7
3302
# In  --> ACK received at time 2369294000.
3303
# Input Address:01, EndPt:0
3304
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
3305
# In  CntrlTransType = 11, WRITE = 11
3306
# In  --> In task wait for response at time 2372538000
3307
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2372906000
3308
# In  --> Decoder enabled at time 2373636000 in host
3309
# In  --> receive data = 4b
3310
# In  --> receive data = 00
3311
# In  --> StopTime = x, SE0StartTime = x
3312
# In  --> receive data = 00
3313
# In  --> StopTime = x, SE0StartTime = x
3314
# In  --> EOP asserted for 2 bit time at time 2375762000
3315
#
3316
# ... Reading the UART Status: 0000008f ...
3317
# CntrlTransType = 10
3318
# In  --> In task wait for response at time 2389590000
3319
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2389874000
3320
# In  --> Decoder enabled at time 2390600000 in host
3321
# In  --> StopTime = x, SE0StartTime = x
3322
# In  --> receive data = d2
3323
# In  --> StopTime = x, SE0StartTime = x
3324
# In  --> EOP asserted for 2 bit time at time 2391386000
3325
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3326
# CntrlTransType = 10
3327
# In  --> In task wait for response at time 2394546000
3328
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2394914000
3329
# In  --> Decoder enabled at time 2395643000 in host
3330
# In  --> receive data = 4b
3331
# In  --> receive data = 00
3332
# In  --> receive data = 00
3333
# In  --> receive data = 00
3334
# In  --> receive data = 00
3335
# In  --> receive data = ff
3336
# In  --> StopTime = x, SE0StartTime = x
3337
# In  --> receive data = db
3338
# In  --> StopTime = x, SE0StartTime = x
3339
# In  --> EOP asserted for 2 bit time at time 2400521000
3340
# In  --> Data toggle recevied is 1001011 at time 2400605000
3341
# In  --> received byte[1] = 00000000
3342
# In  --> received byte[2] = 00000000
3343
# In  --> received byte[3] = 00000000
3344
# In  --> received byte[4] = 00000000
3345
# In  --> calculated crc is 24 at time 2400605000.
3346
# In  --> received raw crc is 24 at time 2400605000.
3347
# In  --> received crc is ffdb at time 2400605000.
3348
# In  --> tmpCrc ffdb, at time 2400605000
3349
# In  --> sending ACK at time 2402526000
3350
# RecvBuffer[0]  = 00000000  : 0
3351
# RecvBuffer[1]  = 00000000  : 0
3352
# RecvBuffer[2]  = 00000000  : 0
3353
# RecvBuffer[3]  = 00000000  : 0
3354
# In  --> In task wait for response at time 2409078000
3355
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2409383000
3356
# In  --> Decoder enabled at time 2410082000 in host
3357
# In  --> StopTime = x, SE0StartTime = x
3358
# In  --> receive data = d2
3359
# In  --> StopTime = x, SE0StartTime = x
3360
# In  --> EOP asserted for 2 bit time at time 2410895000
3361
# ... Write data 9d to UART done cnt :         36 ...
3362
#
3363
#
3364
# ... Writing char 150 ...
3365
# CntrlTransType = 10
3366
# In  --> In task wait for response at time 2422770000
3367
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2423075000
3368
# In  --> Decoder enabled at time 2423777000 in host
3369
# In  --> StopTime = x, SE0StartTime = x
3370
# In  --> receive data = d2
3371
# In  --> StopTime = x, SE0StartTime = x
3372
# In  --> EOP asserted for 2 bit time at time 2424587000
3373
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3374
# CntrlTransType = 10
3375
# In  --> In task wait for response at time 2427726000
3376
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2428115000
3377
# In  --> Decoder enabled at time 2428818000 in host
3378
# In  --> receive data = 4b
3379
# In  --> receive data = 00
3380
# In  --> receive data = 00
3381
# In  --> receive data = 00
3382
# In  --> receive data = f2
3383
# In  --> receive data = 7e
3384
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  8f
3385
# ... Read Data from UART done cnt :        22...
3386
# In  --> StopTime = x, SE0StartTime = x
3387
# In  --> receive data = 5e
3388
# In  --> StopTime = x, SE0StartTime = x
3389
# In  --> EOP asserted for 2 bit time at time 2433701000
3390
# In  --> Data toggle recevied is 1001011 at time 2433785000
3391
# In  --> received byte[1] = 00000000
3392
# In  --> received byte[2] = 00000000
3393
# In  --> received byte[3] = 00000000
3394
# In  --> received byte[4] = 11110010
3395
# In  --> calculated crc is 8185 at time 2433785000.
3396
# In  --> received raw crc is 8185 at time 2433785000.
3397
# In  --> received crc is 7e5e at time 2433785000.
3398
# In  --> tmpCrc 7e5e, at time 2433785000
3399
# In  --> sending ACK at time 2435706000
3400
# RecvBuffer[0]  = 00000000  : 0
3401
# RecvBuffer[1]  = 00000000  : 0
3402
# RecvBuffer[2]  = 00000000  : 0
3403
# RecvBuffer[3]  = 11110010  : 242
3404
# In  --> In task wait for response at time 2442258000
3405
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2442563000
3406
# In  --> Decoder enabled at time 2443260000 in host
3407
# In  --> StopTime = x, SE0StartTime = x
3408
# In  --> receive data = d2
3409
# In  --> StopTime = x, SE0StartTime = x
3410
# In  --> EOP asserted for 2 bit time at time 2444054000
3411
# CntrlTransType = 11
3412
# In  --> In task wait for response at time 2455950000
3413
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2456234000
3414
# In  --> Decoder enabled at time 2456952000 in host
3415
# In  --> StopTime = x, SE0StartTime = x
3416
# In  --> receive data = d2
3417
# In  --> StopTime = x, SE0StartTime = x
3418
# In  --> EOP asserted for 2 bit time at time 2457746000
3419
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3420
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3421
# In  --> DataToggle is 1
3422
# In  --> DataToggle is 4b at time 2460990000.
3423
# In  --> sending byte[1] = 00000000
3424
# In  --> sending byte[2] = 00000000
3425
# In  --> sending byte[3] = 00000000
3426
# In  --> sending byte[4] = 11110010
3427
# In  --> raw crc is 8185 at time             2460990
3428
# In  --> sent crc is 7e5e at time             2460990
3429
# In  --> In task wait for response at time 2467038000
3430
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2467322000
3431
# In  --> Decoder enabled at time 2468038000 in host
3432
# In  --> StopTime = x, SE0StartTime = x
3433
# In  --> receive data = d2
3434
# In  --> StopTime = x, SE0StartTime = x
3435
# In  --> EOP asserted for 2 bit time at time 2468834000
3436
# In  --> bits received are 7
3437
# In  --> ACK received at time 2468834000.
3438
# Input Address:01, EndPt:0
3439
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
3440
# In  CntrlTransType = 11, WRITE = 11
3441
# In  --> In task wait for response at time 2472078000
3442
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2472446000
3443
# In  --> Decoder enabled at time 2473168000 in host
3444
# In  --> receive data = 4b
3445
# In  --> receive data = 00
3446
# In  --> StopTime = x, SE0StartTime = x
3447
# In  --> receive data = 00
3448
# In  --> StopTime = x, SE0StartTime = x
3449
# In  --> EOP asserted for 2 bit time at time 2475302000
3450
#
3451
# ... Reading the UART Status: 000000f2 ...
3452
# ... Write data 96 to UART done cnt :         37 ...
3453
#
3454
#
3455
# ... Writing char  19 ...
3456
# CntrlTransType = 10
3457
# In  --> In task wait for response at time 2489130000
3458
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2489414000
3459
# In  --> Decoder enabled at time 2490150000 in host
3460
# In  --> StopTime = x, SE0StartTime = x
3461
# In  --> receive data = d2
3462
# In  --> StopTime = x, SE0StartTime = x
3463
# In  --> EOP asserted for 2 bit time at time 2490947000
3464
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3465
# CntrlTransType = 10
3466
# In  --> In task wait for response at time 2494086000
3467
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2494475000
3468
# In  --> Decoder enabled at time 2495175000 in host
3469
# In  --> receive data = 4b
3470
# In  --> receive data = 00
3471
# In  --> receive data = 00
3472
# In  --> receive data = 00
3473
# In  --> receive data = 00
3474
# In  --> receive data = ff
3475
# In  --> StopTime = x, SE0StartTime = x
3476
# In  --> receive data = db
3477
# In  --> StopTime = x, SE0StartTime = x
3478
# In  --> EOP asserted for 2 bit time at time 2500061000
3479
# In  --> Data toggle recevied is 1001011 at time 2500145000
3480
# In  --> received byte[1] = 00000000
3481
# In  --> received byte[2] = 00000000
3482
# In  --> received byte[3] = 00000000
3483
# In  --> received byte[4] = 00000000
3484
# In  --> calculated crc is 24 at time 2500145000.
3485
# In  --> received raw crc is 24 at time 2500145000.
3486
# In  --> received crc is ffdb at time 2500145000.
3487
# In  --> tmpCrc ffdb, at time 2500145000
3488
# In  --> sending ACK at time 2502066000
3489
# RecvBuffer[0]  = 00000000  : 0
3490
# RecvBuffer[1]  = 00000000  : 0
3491
# RecvBuffer[2]  = 00000000  : 0
3492
# RecvBuffer[3]  = 00000000  : 0
3493
# In  --> In task wait for response at time 2508618000
3494
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2508923000
3495
# In  --> Decoder enabled at time 2509638000 in host
3496
# In  --> StopTime = x, SE0StartTime = x
3497
# In  --> receive data = d2
3498
# In  --> StopTime = x, SE0StartTime = x
3499
# In  --> EOP asserted for 2 bit time at time 2510435000
3500
# CntrlTransType = 10
3501
# In  --> In task wait for response at time 2522310000
3502
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2522615000
3503
# In  --> Decoder enabled at time 2523330000 in host
3504
# In  --> StopTime = x, SE0StartTime = x
3505
# In  --> receive data = d2
3506
# In  --> StopTime = x, SE0StartTime = x
3507
# In  --> EOP asserted for 2 bit time at time 2524127000
3508
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3509
# CntrlTransType = 10
3510
# In  --> In task wait for response at time 2527266000
3511
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2527655000
3512
# In  --> Decoder enabled at time 2528349000 in host
3513
# In  --> receive data = 4b
3514
# In  --> receive data = 00
3515
# In  --> receive data = 00
3516
# In  --> receive data = 00
3517
# In  --> receive data = ce
3518
# In  --> receive data = 7e
3519
# In  --> StopTime = x, SE0StartTime = x
3520
# In  --> receive data = 4f
3521
# In  --> StopTime = x, SE0StartTime = x
3522
# In  --> EOP asserted for 2 bit time at time 2533241000
3523
# In  --> Data toggle recevied is 1001011 at time 2533325000
3524
# In  --> received byte[1] = 00000000
3525
# In  --> received byte[2] = 00000000
3526
# In  --> received byte[3] = 00000000
3527
# In  --> received byte[4] = 11001110
3528
# In  --> calculated crc is 810d at time 2533325000.
3529
# In  --> received raw crc is 810d at time 2533325000.
3530
# In  --> received crc is 7e4f at time 2533325000.
3531
# In  --> tmpCrc 7e4f, at time 2533325000
3532
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  f2
3533
# ... Read Data from UART done cnt :        23...
3534
# In  --> sending ACK at time 2535246000
3535
# RecvBuffer[0]  = 00000000  : 0
3536
# RecvBuffer[1]  = 00000000  : 0
3537
# RecvBuffer[2]  = 00000000  : 0
3538
# RecvBuffer[3]  = 11001110  : 206
3539
# In  --> In task wait for response at time 2541798000
3540
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2542103000
3541
# In  --> Decoder enabled at time 2542812000 in host
3542
# In  --> StopTime = x, SE0StartTime = x
3543
# In  --> receive data = d2
3544
# In  --> StopTime = x, SE0StartTime = x
3545
# In  --> EOP asserted for 2 bit time at time 2543615000
3546
# ... Write data 13 to UART done cnt :         38 ...
3547
#
3548
#
3549
# ... Writing char  13 ...
3550
# CntrlTransType = 11
3551
# In  --> In task wait for response at time 2555490000
3552
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2555795000
3553
# In  --> Decoder enabled at time 2556507000 in host
3554
# In  --> StopTime = x, SE0StartTime = x
3555
# In  --> receive data = d2
3556
# In  --> StopTime = x, SE0StartTime = x
3557
# In  --> EOP asserted for 2 bit time at time 2557307000
3558
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3559
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3560
# In  --> DataToggle is 1
3561
# In  --> DataToggle is 4b at time 2560530000.
3562
# In  --> sending byte[1] = 00000000
3563
# In  --> sending byte[2] = 00000000
3564
# In  --> sending byte[3] = 00000000
3565
# In  --> sending byte[4] = 11001110
3566
# In  --> raw crc is 810d at time             2560530
3567
# In  --> sent crc is 7e4f at time             2560530
3568
# In  --> In task wait for response at time 2566578000
3569
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2566883000
3570
# In  --> Decoder enabled at time 2567594000 in host
3571
# In  --> StopTime = x, SE0StartTime = x
3572
# In  --> receive data = d2
3573
# In  --> StopTime = x, SE0StartTime = x
3574
# In  --> EOP asserted for 2 bit time at time 2568395000
3575
# In  --> bits received are 7
3576
# In  --> ACK received at time 2568395000.
3577
# Input Address:01, EndPt:0
3578
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
3579
# In  CntrlTransType = 11, WRITE = 11
3580
# In  --> In task wait for response at time 2571618000
3581
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2572007000
3582
# In  --> Decoder enabled at time 2572718000 in host
3583
# In  --> receive data = 4b
3584
# In  --> receive data = 00
3585
# In  --> StopTime = x, SE0StartTime = x
3586
# In  --> receive data = 00
3587
# In  --> StopTime = x, SE0StartTime = x
3588
# In  --> EOP asserted for 2 bit time at time 2574863000
3589
#
3590
# ... Reading the UART Status: 000000ce ...
3591
# CntrlTransType = 10
3592
# In  --> In task wait for response at time 2588670000
3593
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2588975000
3594
# In  --> Decoder enabled at time 2589682000 in host
3595
# In  --> StopTime = x, SE0StartTime = x
3596
# In  --> receive data = d2
3597
# In  --> StopTime = x, SE0StartTime = x
3598
# In  --> EOP asserted for 2 bit time at time 2590487000
3599
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3600
# CntrlTransType = 10
3601
# In  --> In task wait for response at time 2593626000
3602
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2594015000
3603
# In  --> Decoder enabled at time 2594725000 in host
3604
# In  --> receive data = 4b
3605
# In  --> receive data = 00
3606
# In  --> receive data = 00
3607
# In  --> receive data = 00
3608
# In  --> receive data = 00
3609
# In  --> receive data = ff
3610
# In  --> StopTime = x, SE0StartTime = x
3611
# In  --> receive data = db
3612
# In  --> StopTime = x, SE0StartTime = x
3613
# In  --> EOP asserted for 2 bit time at time 2599622000
3614
# In  --> Data toggle recevied is 1001011 at time 2599706000
3615
# In  --> received byte[1] = 00000000
3616
# In  --> received byte[2] = 00000000
3617
# In  --> received byte[3] = 00000000
3618
# In  --> received byte[4] = 00000000
3619
# In  --> calculated crc is 24 at time 2599706000.
3620
# In  --> received raw crc is 24 at time 2599706000.
3621
# In  --> received crc is ffdb at time 2599706000.
3622
# In  --> tmpCrc ffdb, at time 2599706000
3623
# In  --> sending ACK at time 2601606000
3624
# RecvBuffer[0]  = 00000000  : 0
3625
# RecvBuffer[1]  = 00000000  : 0
3626
# RecvBuffer[2]  = 00000000  : 0
3627
# RecvBuffer[3]  = 00000000  : 0
3628
# In  --> In task wait for response at time 2608158000
3629
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2608442000
3630
# In  --> Decoder enabled at time 2609167000 in host
3631
# In  --> StopTime = x, SE0StartTime = x
3632
# In  --> receive data = d2
3633
# In  --> StopTime = x, SE0StartTime = x
3634
# In  --> EOP asserted for 2 bit time at time 2609954000
3635
# ... Write data 0d to UART done cnt :         39 ...
3636
#
3637
#
3638
# ... Writing char  83 ...
3639
# CntrlTransType = 10
3640
# In  --> In task wait for response at time 2621850000
3641
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2622134000
3642
# In  --> Decoder enabled at time 2622859000 in host
3643
# In  --> StopTime = x, SE0StartTime = x
3644
# In  --> receive data = d2
3645
# In  --> StopTime = x, SE0StartTime = x
3646
# In  --> EOP asserted for 2 bit time at time 2623646000
3647
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3648
# CntrlTransType = 10
3649
# In  --> In task wait for response at time 2626806000
3650
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2627174000
3651
# In  --> Decoder enabled at time 2627905000 in host
3652
# In  --> receive data = 4b
3653
# In  --> receive data = 00
3654
# In  --> receive data = 00
3655
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  ce
3656
# ... Read Data from UART done cnt :        24...
3657
# In  --> receive data = 00
3658
# In  --> receive data = e8
3659
# In  --> receive data = ff
3660
# In  --> StopTime = x, SE0StartTime = x
3661
# In  --> receive data = 95
3662
# In  --> StopTime = x, SE0StartTime = x
3663
# In  --> EOP asserted for 2 bit time at time 2632865000
3664
# In  --> Data toggle recevied is 1001011 at time 2632949000
3665
# In  --> received byte[1] = 00000000
3666
# In  --> received byte[2] = 00000000
3667
# In  --> received byte[3] = 00000000
3668
# In  --> received byte[4] = 11101000
3669
# In  --> calculated crc is 56 at time 2632949000.
3670
# In  --> received raw crc is 56 at time 2632949000.
3671
# In  --> received crc is ff95 at time 2632949000.
3672
# In  --> tmpCrc ff95, at time 2632949000
3673
# In  --> sending ACK at time 2634870000
3674
# RecvBuffer[0]  = 00000000  : 0
3675
# RecvBuffer[1]  = 00000000  : 0
3676
# RecvBuffer[2]  = 00000000  : 0
3677
# RecvBuffer[3]  = 11101000  : 232
3678
# In  --> In task wait for response at time 2641422000
3679
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2641727000
3680
# In  --> Decoder enabled at time 2642428000 in host
3681
# In  --> StopTime = x, SE0StartTime = x
3682
# In  --> receive data = d2
3683
# In  --> StopTime = x, SE0StartTime = x
3684
# In  --> EOP asserted for 2 bit time at time 2643239000
3685
# CntrlTransType = 11
3686
# In  --> In task wait for response at time 2655114000
3687
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2655419000
3688
# In  --> Decoder enabled at time 2656119000 in host
3689
# In  --> StopTime = x, SE0StartTime = x
3690
# In  --> receive data = d2
3691
# In  --> StopTime = x, SE0StartTime = x
3692
# In  --> EOP asserted for 2 bit time at time 2656931000
3693
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3694
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3695
# In  --> DataToggle is 1
3696
# In  --> DataToggle is 4b at time 2660154000.
3697
# In  --> sending byte[1] = 00000000
3698
# In  --> sending byte[2] = 00000000
3699
# In  --> sending byte[3] = 00000000
3700
# In  --> sending byte[4] = 11101000
3701
# In  --> raw crc is 56 at time             2660154
3702
# In  --> sent crc is ff95 at time             2660154
3703
# In  --> In task wait for response at time 2666286000
3704
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2666591000
3705
# In  --> Decoder enabled at time 2667290000 in host
3706
# In  --> StopTime = x, SE0StartTime = x
3707
# In  --> receive data = d2
3708
# In  --> StopTime = x, SE0StartTime = x
3709
# In  --> EOP asserted for 2 bit time at time 2668103000
3710
# In  --> bits received are 7
3711
# In  --> ACK received at time 2668103000.
3712
# Input Address:01, EndPt:0
3713
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
3714
# In  CntrlTransType = 11, WRITE = 11
3715
# In  --> In task wait for response at time 2671326000
3716
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2671715000
3717
# In  --> Decoder enabled at time 2672416000 in host
3718
# In  --> receive data = 4b
3719
# In  --> receive data = 00
3720
# In  --> StopTime = x, SE0StartTime = x
3721
# In  --> receive data = 00
3722
# In  --> StopTime = x, SE0StartTime = x
3723
# In  --> EOP asserted for 2 bit time at time 2674550000
3724
#
3725
# ... Reading the UART Status: 000000ea ...
3726
# ... Write data 53 to UART done cnt :         40 ...
3727
#
3728
# CntrlTransType = 10
3729
# In  --> In task wait for response at time 2688378000
3730
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2688662000
3731
# In  --> Decoder enabled at time 2689383000 in host
3732
# In  --> StopTime = x, SE0StartTime = x
3733
# In  --> receive data = d2
3734
# In  --> StopTime = x, SE0StartTime = x
3735
# In  --> EOP asserted for 2 bit time at time 2690174000
3736
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3737
# CntrlTransType = 10
3738
# In  --> In task wait for response at time 2693334000
3739
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2693702000
3740
# In  --> Decoder enabled at time 2694423000 in host
3741
# In  --> receive data = 4b
3742
# In  --> receive data = 00
3743
# In  --> receive data = 00
3744
# In  --> receive data = 00
3745
# In  --> receive data = 00
3746
# In  --> receive data = ff
3747
# In  --> StopTime = x, SE0StartTime = x
3748
# In  --> receive data = db
3749
# In  --> StopTime = x, SE0StartTime = x
3750
# In  --> EOP asserted for 2 bit time at time 2699309000
3751
# In  --> Data toggle recevied is 1001011 at time 2699393000
3752
# In  --> received byte[1] = 00000000
3753
# In  --> received byte[2] = 00000000
3754
# In  --> received byte[3] = 00000000
3755
# In  --> received byte[4] = 00000000
3756
# In  --> calculated crc is 24 at time 2699393000.
3757
# In  --> received raw crc is 24 at time 2699393000.
3758
# In  --> received crc is ffdb at time 2699393000.
3759
# In  --> tmpCrc ffdb, at time 2699393000
3760
# In  --> sending ACK at time 2701314000
3761
# RecvBuffer[0]  = 00000000  : 0
3762
# RecvBuffer[1]  = 00000000  : 0
3763
# RecvBuffer[2]  = 00000000  : 0
3764
# RecvBuffer[3]  = 00000000  : 0
3765
# In  --> In task wait for response at time 2707866000
3766
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2708171000
3767
# In  --> Decoder enabled at time 2708886000 in host
3768
# In  --> StopTime = x, SE0StartTime = x
3769
# In  --> receive data = d2
3770
# In  --> StopTime = x, SE0StartTime = x
3771
# In  --> EOP asserted for 2 bit time at time 2709683000
3772
# CntrlTransType = 10
3773
# In  --> In task wait for response at time 2721558000
3774
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2721863000
3775
# In  --> Decoder enabled at time 2722581000 in host
3776
# In  --> StopTime = x, SE0StartTime = x
3777
# In  --> receive data = d2
3778
# In  --> StopTime = x, SE0StartTime = x
3779
# In  --> EOP asserted for 2 bit time at time 2723375000
3780
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3781
# CntrlTransType = 10
3782
# In  --> In task wait for response at time 2726514000
3783
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2726903000
3784
# In  --> Decoder enabled at time 2727601000 in host
3785
# In  --> receive data = 4b
3786
# In  --> receive data = 00
3787
# In  --> receive data = 00
3788
# In  --> receive data = 00
3789
# In  --> receive data = c5
3790
# In  --> receive data = 3f
3791
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  e8
3792
# ... Read Data from UART done cnt :        25...
3793
# In  --> StopTime = x, SE0StartTime = x
3794
# In  --> receive data = 88
3795
# In  --> StopTime = x, SE0StartTime = x
3796
# In  --> EOP asserted for 2 bit time at time 2732489000
3797
# In  --> Data toggle recevied is 1001011 at time 2732573000
3798
# In  --> received byte[1] = 00000000
3799
# In  --> received byte[2] = 00000000
3800
# In  --> received byte[3] = 00000000
3801
# In  --> received byte[4] = 11000101
3802
# In  --> calculated crc is 3ee at time 2732573000.
3803
# In  --> received raw crc is 3ee at time 2732573000.
3804
# In  --> received crc is 3f88 at time 2732573000.
3805
# In  --> tmpCrc 3f88, at time 2732573000
3806
# In  --> sending ACK at time 2734494000
3807
# RecvBuffer[0]  = 00000000  : 0
3808
# RecvBuffer[1]  = 00000000  : 0
3809
# RecvBuffer[2]  = 00000000  : 0
3810
# RecvBuffer[3]  = 11000101  : 197
3811
# In  --> In task wait for response at time 2741046000
3812
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2741351000
3813
# In  --> Decoder enabled at time 2742064000 in host
3814
# In  --> StopTime = x, SE0StartTime = x
3815
# In  --> receive data = d2
3816
# In  --> StopTime = x, SE0StartTime = x
3817
# In  --> EOP asserted for 2 bit time at time 2742863000
3818
# CntrlTransType = 11
3819
# In  --> In task wait for response at time 2754738000
3820
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2755043000
3821
# In  --> Decoder enabled at time 2755755000 in host
3822
# In  --> StopTime = x, SE0StartTime = x
3823
# In  --> receive data = d2
3824
# In  --> StopTime = x, SE0StartTime = x
3825
# In  --> EOP asserted for 2 bit time at time 2756555000
3826
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3827
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3828
# In  --> DataToggle is 1
3829
# In  --> DataToggle is 4b at time 2759778000.
3830
# In  --> sending byte[1] = 00000000
3831
# In  --> sending byte[2] = 00000000
3832
# In  --> sending byte[3] = 00000000
3833
# In  --> sending byte[4] = 11000101
3834
# In  --> raw crc is 3ee at time             2759778
3835
# In  --> sent crc is 3f88 at time             2759778
3836
# In  --> In task wait for response at time 2765826000
3837
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2766131000
3838
# In  --> Decoder enabled at time 2766842000 in host
3839
# In  --> StopTime = x, SE0StartTime = x
3840
# In  --> receive data = d2
3841
# In  --> StopTime = x, SE0StartTime = x
3842
# In  --> EOP asserted for 2 bit time at time 2767643000
3843
# In  --> bits received are 7
3844
# In  --> ACK received at time 2767643000.
3845
# Input Address:01, EndPt:0
3846
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
3847
# In  CntrlTransType = 11, WRITE = 11
3848
# In  --> In task wait for response at time 2770866000
3849
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2771255000
3850
# In  --> Decoder enabled at time 2771972000 in host
3851
# In  --> receive data = 4b
3852
# In  --> receive data = 00
3853
# In  --> StopTime = x, SE0StartTime = x
3854
# In  --> receive data = 00
3855
# In  --> StopTime = x, SE0StartTime = x
3856
# In  --> EOP asserted for 2 bit time at time 2774111000
3857
#
3858
# ... Reading the UART Status: 000000c7 ...
3859
# CntrlTransType = 10
3860
# In  --> In task wait for response at time 2787918000
3861
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2788223000
3862
# In  --> Decoder enabled at time 2788933000 in host
3863
# In  --> StopTime = x, SE0StartTime = x
3864
# In  --> receive data = d2
3865
# In  --> StopTime = x, SE0StartTime = x
3866
# In  --> EOP asserted for 2 bit time at time 2789735000
3867
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3868
# CntrlTransType = 10
3869
# In  --> In task wait for response at time 2792874000
3870
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2793179000
3871
# In  --> Decoder enabled at time 2793893000 in host
3872
# In  --> receive data = 4b
3873
# In  --> receive data = 00
3874
# In  --> receive data = 00
3875
# In  --> receive data = 00
3876
# In  --> receive data = 00
3877
# In  --> receive data = ff
3878
# In  --> StopTime = x, SE0StartTime = x
3879
# In  --> receive data = db
3880
# In  --> StopTime = x, SE0StartTime = x
3881
# In  --> EOP asserted for 2 bit time at time 2798786000
3882
# In  --> Data toggle recevied is 1001011 at time 2798870000
3883
# In  --> received byte[1] = 00000000
3884
# In  --> received byte[2] = 00000000
3885
# In  --> received byte[3] = 00000000
3886
# In  --> received byte[4] = 00000000
3887
# In  --> calculated crc is 24 at time 2798870000.
3888
# In  --> received raw crc is 24 at time 2798870000.
3889
# In  --> received crc is ffdb at time 2798870000.
3890
# In  --> tmpCrc ffdb, at time 2798870000
3891
# In  --> sending ACK at time 2800770000
3892
# RecvBuffer[0]  = 00000000  : 0
3893
# RecvBuffer[1]  = 00000000  : 0
3894
# RecvBuffer[2]  = 00000000  : 0
3895
# RecvBuffer[3]  = 00000000  : 0
3896
# In  --> In task wait for response at time 2807322000
3897
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2807606000
3898
# In  --> Decoder enabled at time 2808335000 in host
3899
# In  --> StopTime = x, SE0StartTime = x
3900
# In  --> receive data = d2
3901
# In  --> StopTime = x, SE0StartTime = x
3902
# In  --> EOP asserted for 2 bit time at time 2809139000
3903
# CntrlTransType = 10
3904
# In  --> In task wait for response at time 2821014000
3905
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2821319000
3906
# In  --> Decoder enabled at time 2822027000 in host
3907
# In  --> StopTime = x, SE0StartTime = x
3908
# In  --> receive data = d2
3909
# In  --> StopTime = x, SE0StartTime = x
3910
# In  --> EOP asserted for 2 bit time at time 2822831000
3911
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3912
# CntrlTransType = 10
3913
# In  --> In task wait for response at time 2825970000
3914
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2826275000
3915
# In  --> Decoder enabled at time 2826987000 in host
3916
# In  --> receive data = 4b
3917
# In  --> receive data = 00
3918
# In  --> receive data = 00
3919
# In  --> receive data = 00
3920
# In  --> receive data = 5c
3921
# In  --> receive data = ff
3922
# In  --> StopTime = x, SE0StartTime = x
3923
# In  --> receive data = e2
3924
# In  --> StopTime = x, SE0StartTime = x
3925
# In  --> EOP asserted for 2 bit time at time 2831882000
3926
# In  --> Data toggle recevied is 1001011 at time 2831966000
3927
# In  --> received byte[1] = 00000000
3928
# In  --> received byte[2] = 00000000
3929
# In  --> received byte[3] = 00000000
3930
# In  --> received byte[4] = 01011100
3931
# In  --> calculated crc is b8 at time 2831966000.
3932
# In  --> received raw crc is b8 at time 2831966000.
3933
# In  --> received crc is ffe2 at time 2831966000.
3934
# In  --> tmpCrc ffe2, at time 2831966000
3935
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  c5
3936
# ... Read Data from UART done cnt :        26...
3937
# In  --> sending ACK at time 2833866000
3938
# RecvBuffer[0]  = 00000000  : 0
3939
# RecvBuffer[1]  = 00000000  : 0
3940
# RecvBuffer[2]  = 00000000  : 0
3941
# RecvBuffer[3]  = 01011100  : 92
3942
# In  --> In task wait for response at time 2840418000
3943
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2840702000
3944
# In  --> Decoder enabled at time 2841429000 in host
3945
# In  --> StopTime = x, SE0StartTime = x
3946
# In  --> receive data = d2
3947
# In  --> StopTime = x, SE0StartTime = x
3948
# In  --> EOP asserted for 2 bit time at time 2842214000
3949
# CntrlTransType = 11
3950
# In  --> In task wait for response at time 2854110000
3951
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2854394000
3952
# In  --> Decoder enabled at time 2855121000 in host
3953
# In  --> StopTime = x, SE0StartTime = x
3954
# In  --> receive data = d2
3955
# In  --> StopTime = x, SE0StartTime = x
3956
# In  --> EOP asserted for 2 bit time at time 2855906000
3957
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3958
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
3959
# In  --> DataToggle is 1
3960
# In  --> DataToggle is 4b at time 2859150000.
3961
# In  --> sending byte[1] = 00000000
3962
# In  --> sending byte[2] = 00000000
3963
# In  --> sending byte[3] = 00000000
3964
# In  --> sending byte[4] = 01011100
3965
# In  --> raw crc is b8 at time             2859150
3966
# In  --> sent crc is ffe2 at time             2859150
3967
# In  --> In task wait for response at time 2865198000
3968
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2865482000
3969
# In  --> Decoder enabled at time 2866207000 in host
3970
# In  --> StopTime = x, SE0StartTime = x
3971
# In  --> receive data = d2
3972
# In  --> StopTime = x, SE0StartTime = x
3973
# In  --> EOP asserted for 2 bit time at time 2866994000
3974
# In  --> bits received are 7
3975
# In  --> ACK received at time 2866994000.
3976
# Input Address:01, EndPt:0
3977
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
3978
# In  CntrlTransType = 11, WRITE = 11
3979
# In  --> In task wait for response at time 2870238000
3980
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2870606000
3981
# In  --> Decoder enabled at time 2871337000 in host
3982
# In  --> receive data = 4b
3983
# In  --> receive data = 00
3984
# In  --> StopTime = x, SE0StartTime = x
3985
# In  --> receive data = 00
3986
# In  --> StopTime = x, SE0StartTime = x
3987
# In  --> EOP asserted for 2 bit time at time 2873462000
3988
#
3989
# ... Reading the UART Status: 0000005e ...
3990
# CntrlTransType = 10
3991
# In  --> In task wait for response at time 2887290000
3992
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2887574000
3993
# In  --> Decoder enabled at time 2888298000 in host
3994
# In  --> StopTime = x, SE0StartTime = x
3995
# In  --> receive data = d2
3996
# In  --> StopTime = x, SE0StartTime = x
3997
# In  --> EOP asserted for 2 bit time at time 2889086000
3998
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
3999
# CntrlTransType = 10
4000
# In  --> In task wait for response at time 2892246000
4001
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2892614000
4002
# In  --> Decoder enabled at time 2893344000 in host
4003
# In  --> receive data = 4b
4004
# In  --> receive data = 00
4005
# In  --> receive data = 00
4006
# In  --> receive data = 00
4007
# In  --> receive data = 00
4008
# In  --> receive data = ff
4009
# In  --> StopTime = x, SE0StartTime = x
4010
# In  --> receive data = db
4011
# In  --> StopTime = x, SE0StartTime = x
4012
# In  --> EOP asserted for 2 bit time at time 2898221000
4013
# In  --> Data toggle recevied is 1001011 at time 2898305000
4014
# In  --> received byte[1] = 00000000
4015
# In  --> received byte[2] = 00000000
4016
# In  --> received byte[3] = 00000000
4017
# In  --> received byte[4] = 00000000
4018
# In  --> calculated crc is 24 at time 2898305000.
4019
# In  --> received raw crc is 24 at time 2898305000.
4020
# In  --> received crc is ffdb at time 2898305000.
4021
# In  --> tmpCrc ffdb, at time 2898305000
4022
# In  --> sending ACK at time 2900226000
4023
# RecvBuffer[0]  = 00000000  : 0
4024
# RecvBuffer[1]  = 00000000  : 0
4025
# RecvBuffer[2]  = 00000000  : 0
4026
# RecvBuffer[3]  = 00000000  : 0
4027
# In  --> In task wait for response at time 2906778000
4028
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2907083000
4029
# In  --> Decoder enabled at time 2907786000 in host
4030
# In  --> StopTime = x, SE0StartTime = x
4031
# In  --> receive data = d2
4032
# In  --> StopTime = x, SE0StartTime = x
4033
# In  --> EOP asserted for 2 bit time at time 2908595000
4034
# CntrlTransType = 10
4035
# In  --> In task wait for response at time 2920470000
4036
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2920775000
4037
# In  --> Decoder enabled at time 2921478000 in host
4038
# In  --> StopTime = x, SE0StartTime = x
4039
# In  --> receive data = d2
4040
# In  --> StopTime = x, SE0StartTime = x
4041
# In  --> EOP asserted for 2 bit time at time 2922287000
4042
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4043
# CntrlTransType = 10
4044
# In  --> In task wait for response at time 2925426000
4045
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2925815000
4046
# In  --> Decoder enabled at time 2926518000 in host
4047
# In  --> receive data = 4b
4048
# In  --> receive data = 00
4049
# In  --> receive data = 00
4050
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  5c
4051
# ... Read Data from UART done cnt :        27...
4052
# In  --> receive data = 00
4053
# In  --> receive data = bd
4054
# In  --> receive data = 3f
4055
# In  --> StopTime = x, SE0StartTime = x
4056
# In  --> receive data = aa
4057
# In  --> StopTime = x, SE0StartTime = x
4058
# In  --> EOP asserted for 2 bit time at time 2931401000
4059
# In  --> Data toggle recevied is 1001011 at time 2931485000
4060
# In  --> received byte[1] = 00000000
4061
# In  --> received byte[2] = 00000000
4062
# In  --> received byte[3] = 00000000
4063
# In  --> received byte[4] = 10111101
4064
# In  --> calculated crc is 3aa at time 2931485000.
4065
# In  --> received raw crc is 3aa at time 2931485000.
4066
# In  --> received crc is 3faa at time 2931485000.
4067
# In  --> tmpCrc 3faa, at time 2931485000
4068
# In  --> sending ACK at time 2933406000
4069
# RecvBuffer[0]  = 00000000  : 0
4070
# RecvBuffer[1]  = 00000000  : 0
4071
# RecvBuffer[2]  = 00000000  : 0
4072
# RecvBuffer[3]  = 10111101  : 189
4073
# In  --> In task wait for response at time 2939958000
4074
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2940263000
4075
# In  --> Decoder enabled at time 2940961000 in host
4076
# In  --> StopTime = x, SE0StartTime = x
4077
# In  --> receive data = d2
4078
# In  --> StopTime = x, SE0StartTime = x
4079
# In  --> EOP asserted for 2 bit time at time 2941754000
4080
# CntrlTransType = 11
4081
# In  --> In task wait for response at time 2953650000
4082
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2953934000
4083
# In  --> Decoder enabled at time 2954652000 in host
4084
# In  --> StopTime = x, SE0StartTime = x
4085
# In  --> receive data = d2
4086
# In  --> StopTime = x, SE0StartTime = x
4087
# In  --> EOP asserted for 2 bit time at time 2955446000
4088
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4089
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
4090
# In  --> DataToggle is 1
4091
# In  --> DataToggle is 4b at time 2958690000.
4092
# In  --> sending byte[1] = 00000000
4093
# In  --> sending byte[2] = 00000000
4094
# In  --> sending byte[3] = 00000000
4095
# In  --> sending byte[4] = 10111101
4096
# In  --> raw crc is 3aa at time             2958690
4097
# In  --> sent crc is 3faa at time             2958690
4098
# In  --> In task wait for response at time 2964738000
4099
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2965022000
4100
# In  --> Decoder enabled at time 2965739000 in host
4101
# In  --> StopTime = x, SE0StartTime = x
4102
# In  --> receive data = d2
4103
# In  --> StopTime = x, SE0StartTime = x
4104
# In  --> EOP asserted for 2 bit time at time 2966534000
4105
# In  --> bits received are 7
4106
# In  --> ACK received at time 2966534000.
4107
# Input Address:01, EndPt:0
4108
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
4109
# In  CntrlTransType = 11, WRITE = 11
4110
# In  --> In task wait for response at time 2969778000
4111
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2970146000
4112
# In  --> Decoder enabled at time 2970869000 in host
4113
# In  --> receive data = 4b
4114
# In  --> receive data = 00
4115
# In  --> StopTime = x, SE0StartTime = x
4116
# In  --> receive data = 00
4117
# In  --> StopTime = x, SE0StartTime = x
4118
# In  --> EOP asserted for 2 bit time at time 2973002000
4119
#
4120
# ... Reading the UART Status: 000000bf ...
4121
# CntrlTransType = 10
4122
# In  --> In task wait for response at time 2986830000
4123
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2987114000
4124
# In  --> Decoder enabled at time 2987830000 in host
4125
# In  --> StopTime = x, SE0StartTime = x
4126
# In  --> receive data = d2
4127
# In  --> StopTime = x, SE0StartTime = x
4128
# In  --> EOP asserted for 2 bit time at time 2988626000
4129
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4130
# CntrlTransType = 10
4131
# In  --> In task wait for response at time 2991786000
4132
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 2992154000
4133
# In  --> Decoder enabled at time 2992876000 in host
4134
# In  --> receive data = 4b
4135
# In  --> receive data = 00
4136
# In  --> receive data = 00
4137
# In  --> receive data = 00
4138
# In  --> receive data = 00
4139
# In  --> receive data = ff
4140
# In  --> StopTime = x, SE0StartTime = x
4141
# In  --> receive data = db
4142
# In  --> StopTime = x, SE0StartTime = x
4143
# In  --> EOP asserted for 2 bit time at time 2997761000
4144
# In  --> Data toggle recevied is 1001011 at time 2997845000
4145
# In  --> received byte[1] = 00000000
4146
# In  --> received byte[2] = 00000000
4147
# In  --> received byte[3] = 00000000
4148
# In  --> received byte[4] = 00000000
4149
# In  --> calculated crc is 24 at time 2997845000.
4150
# In  --> received raw crc is 24 at time 2997845000.
4151
# In  --> received crc is ffdb at time 2997845000.
4152
# In  --> tmpCrc ffdb, at time 2997845000
4153
# In  --> sending ACK at time 2999766000
4154
# RecvBuffer[0]  = 00000000  : 0
4155
# RecvBuffer[1]  = 00000000  : 0
4156
# RecvBuffer[2]  = 00000000  : 0
4157
# RecvBuffer[3]  = 00000000  : 0
4158
# In  --> In task wait for response at time 3006318000
4159
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3006623000
4160
# In  --> Decoder enabled at time 3007339000 in host
4161
# In  --> StopTime = x, SE0StartTime = x
4162
# In  --> receive data = d2
4163
# In  --> StopTime = x, SE0StartTime = x
4164
# In  --> EOP asserted for 2 bit time at time 3008135000
4165
# CntrlTransType = 10
4166
# In  --> In task wait for response at time 3020010000
4167
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3020315000
4168
# In  --> Decoder enabled at time 3021028000 in host
4169
# In  --> StopTime = x, SE0StartTime = x
4170
# In  --> receive data = d2
4171
# In  --> StopTime = x, SE0StartTime = x
4172
# In  --> EOP asserted for 2 bit time at time 3021827000
4173
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4174
# CntrlTransType = 10
4175
# In  --> In task wait for response at time 3024966000
4176
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3025355000
4177
# In  --> Decoder enabled at time 3026053000 in host
4178
# In  --> receive data = 4b
4179
# In  --> receive data = 00
4180
# In  --> receive data = 00
4181
# In  --> receive data = 00
4182
# In  --> receive data = 2d
4183
# In  --> receive data = 3f
4184
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  bd
4185
# ... Read Data from UART done cnt :        28...
4186
# In  --> StopTime = x, SE0StartTime = x
4187
# In  --> receive data = c6
4188
# In  --> StopTime = x, SE0StartTime = x
4189
# In  --> EOP asserted for 2 bit time at time 3030941000
4190
# In  --> Data toggle recevied is 1001011 at time 3031025000
4191
# In  --> received byte[1] = 00000000
4192
# In  --> received byte[2] = 00000000
4193
# In  --> received byte[3] = 00000000
4194
# In  --> received byte[4] = 00101101
4195
# In  --> calculated crc is 39c at time 3031025000.
4196
# In  --> received raw crc is 39c at time 3031025000.
4197
# In  --> received crc is 3fc6 at time 3031025000.
4198
# In  --> tmpCrc 3fc6, at time 3031025000
4199
# In  --> sending ACK at time 3032946000
4200
# RecvBuffer[0]  = 00000000  : 0
4201
# RecvBuffer[1]  = 00000000  : 0
4202
# RecvBuffer[2]  = 00000000  : 0
4203
# RecvBuffer[3]  = 00101101  : 45
4204
# In  --> In task wait for response at time 3039498000
4205
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3039803000
4206
# In  --> Decoder enabled at time 3040516000 in host
4207
# In  --> StopTime = x, SE0StartTime = x
4208
# In  --> receive data = d2
4209
# In  --> StopTime = x, SE0StartTime = x
4210
# In  --> EOP asserted for 2 bit time at time 3041315000
4211
# CntrlTransType = 11
4212
# In  --> In task wait for response at time 3053190000
4213
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3053495000
4214
# In  --> Decoder enabled at time 3054208000 in host
4215
# In  --> StopTime = x, SE0StartTime = x
4216
# In  --> receive data = d2
4217
# In  --> StopTime = x, SE0StartTime = x
4218
# In  --> EOP asserted for 2 bit time at time 3055007000
4219
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4220
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
4221
# In  --> DataToggle is 1
4222
# In  --> DataToggle is 4b at time 3058230000.
4223
# In  --> sending byte[1] = 00000000
4224
# In  --> sending byte[2] = 00000000
4225
# In  --> sending byte[3] = 00000000
4226
# In  --> sending byte[4] = 00101101
4227
# In  --> raw crc is 39c at time             3058230
4228
# In  --> sent crc is 3fc6 at time             3058230
4229
# In  --> In task wait for response at time 3064278000
4230
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3064583000
4231
# In  --> Decoder enabled at time 3065295000 in host
4232
# In  --> StopTime = x, SE0StartTime = x
4233
# In  --> receive data = d2
4234
# In  --> StopTime = x, SE0StartTime = x
4235
# In  --> EOP asserted for 2 bit time at time 3066095000
4236
# In  --> bits received are 7
4237
# In  --> ACK received at time 3066095000.
4238
# Input Address:01, EndPt:0
4239
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
4240
# In  CntrlTransType = 11, WRITE = 11
4241
# In  --> In task wait for response at time 3069318000
4242
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3069623000
4243
# In  --> Decoder enabled at time 3070338000 in host
4244
# In  --> receive data = 4b
4245
# In  --> receive data = 00
4246
# In  --> StopTime = x, SE0StartTime = x
4247
# In  --> receive data = 00
4248
# In  --> StopTime = x, SE0StartTime = x
4249
# In  --> EOP asserted for 2 bit time at time 3072479000
4250
#
4251
# ... Reading the UART Status: 0000002f ...
4252
# CntrlTransType = 10
4253
# In  --> In task wait for response at time 3086286000
4254
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3086591000
4255
# In  --> Decoder enabled at time 3087302000 in host
4256
# In  --> StopTime = x, SE0StartTime = x
4257
# In  --> receive data = d2
4258
# In  --> StopTime = x, SE0StartTime = x
4259
# In  --> EOP asserted for 2 bit time at time 3088103000
4260
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4261
# CntrlTransType = 10
4262
# In  --> In task wait for response at time 3091242000
4263
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3091547000
4264
# In  --> Decoder enabled at time 3092262000 in host
4265
# In  --> receive data = 4b
4266
# In  --> receive data = 00
4267
# In  --> receive data = 00
4268
# In  --> receive data = 00
4269
# In  --> receive data = 00
4270
# In  --> receive data = ff
4271
# In  --> StopTime = x, SE0StartTime = x
4272
# In  --> receive data = db
4273
# In  --> StopTime = x, SE0StartTime = x
4274
# In  --> EOP asserted for 2 bit time at time 3097154000
4275
# In  --> Data toggle recevied is 1001011 at time 3097238000
4276
# In  --> received byte[1] = 00000000
4277
# In  --> received byte[2] = 00000000
4278
# In  --> received byte[3] = 00000000
4279
# In  --> received byte[4] = 00000000
4280
# In  --> calculated crc is 24 at time 3097238000.
4281
# In  --> received raw crc is 24 at time 3097238000.
4282
# In  --> received crc is ffdb at time 3097238000.
4283
# In  --> tmpCrc ffdb, at time 3097238000
4284
# In  --> sending ACK at time 3099138000
4285
# RecvBuffer[0]  = 00000000  : 0
4286
# RecvBuffer[1]  = 00000000  : 0
4287
# RecvBuffer[2]  = 00000000  : 0
4288
# RecvBuffer[3]  = 00000000  : 0
4289
# In  --> In task wait for response at time 3105690000
4290
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3105974000
4291
# In  --> Decoder enabled at time 3106704000 in host
4292
# In  --> StopTime = x, SE0StartTime = x
4293
# In  --> receive data = d2
4294
# In  --> StopTime = x, SE0StartTime = x
4295
# In  --> EOP asserted for 2 bit time at time 3107486000
4296
# CntrlTransType = 10
4297
# In  --> In task wait for response at time 3119382000
4298
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3119666000
4299
# In  --> Decoder enabled at time 3120396000 in host
4300
# In  --> StopTime = x, SE0StartTime = x
4301
# In  --> receive data = d2
4302
# In  --> StopTime = x, SE0StartTime = x
4303
# In  --> EOP asserted for 2 bit time at time 3121178000
4304
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4305
# CntrlTransType = 10
4306
# In  --> In task wait for response at time 3124338000
4307
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3124706000
4308
# In  --> Decoder enabled at time 3125439000 in host
4309
# In  --> receive data = 4b
4310
# In  --> receive data = 00
4311
# In  --> receive data = 00
4312
# In  --> receive data = 00
4313
# In  --> receive data = 65
4314
# In  --> receive data = 3f
4315
# In  --> StopTime = x, SE0StartTime = x
4316
# In  --> receive data = f0
4317
# In  --> StopTime = x, SE0StartTime = x
4318
# In  --> EOP asserted for 2 bit time at time 3130334000
4319
# In  --> Data toggle recevied is 1001011 at time 3130418000
4320
# In  --> received byte[1] = 00000000
4321
# In  --> received byte[2] = 00000000
4322
# In  --> received byte[3] = 00000000
4323
# In  --> received byte[4] = 01100101
4324
# In  --> calculated crc is 3f0 at time 3130418000.
4325
# In  --> received raw crc is 3f0 at time 3130418000.
4326
# In  --> received crc is 3ff0 at time 3130418000.
4327
# In  --> tmpCrc 3ff0, at time 3130418000
4328
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  2d
4329
# ... Read Data from UART done cnt :        29...
4330
# In  --> sending ACK at time 3132318000
4331
# RecvBuffer[0]  = 00000000  : 0
4332
# RecvBuffer[1]  = 00000000  : 0
4333
# RecvBuffer[2]  = 00000000  : 0
4334
# RecvBuffer[3]  = 01100101  : 101
4335
# In  --> In task wait for response at time 3138870000
4336
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3139154000
4337
# In  --> Decoder enabled at time 3139878000 in host
4338
# In  --> StopTime = x, SE0StartTime = x
4339
# In  --> receive data = d2
4340
# In  --> StopTime = x, SE0StartTime = x
4341
# In  --> EOP asserted for 2 bit time at time 3140666000
4342
# CntrlTransType = 11
4343
# In  --> In task wait for response at time 3152562000
4344
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3152846000
4345
# In  --> Decoder enabled at time 3153573000 in host
4346
# In  --> StopTime = x, SE0StartTime = x
4347
# In  --> receive data = d2
4348
# In  --> StopTime = x, SE0StartTime = x
4349
# In  --> EOP asserted for 2 bit time at time 3154358000
4350
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4351
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
4352
# In  --> DataToggle is 1
4353
# In  --> DataToggle is 4b at time 3157602000.
4354
# In  --> sending byte[1] = 00000000
4355
# In  --> sending byte[2] = 00000000
4356
# In  --> sending byte[3] = 00000000
4357
# In  --> sending byte[4] = 01100101
4358
# In  --> raw crc is 3f0 at time             3157602
4359
# In  --> sent crc is 3ff0 at time             3157602
4360
# In  --> In task wait for response at time 3163650000
4361
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3163934000
4362
# In  --> Decoder enabled at time 3164660000 in host
4363
# In  --> StopTime = x, SE0StartTime = x
4364
# In  --> receive data = d2
4365
# In  --> StopTime = x, SE0StartTime = x
4366
# In  --> EOP asserted for 2 bit time at time 3165446000
4367
# In  --> bits received are 7
4368
# In  --> ACK received at time 3165446000.
4369
# Input Address:01, EndPt:0
4370
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
4371
# In  CntrlTransType = 11, WRITE = 11
4372
# In  --> In task wait for response at time 3168690000
4373
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3169058000
4374
# In  --> Decoder enabled at time 3169784000 in host
4375
# In  --> receive data = 4b
4376
# In  --> receive data = 00
4377
# In  --> StopTime = x, SE0StartTime = x
4378
# In  --> receive data = 00
4379
# In  --> StopTime = x, SE0StartTime = x
4380
# In  --> EOP asserted for 2 bit time at time 3171914000
4381
#
4382
# ... Reading the UART Status: 00000067 ...
4383
# CntrlTransType = 10
4384
# In  --> In task wait for response at time 3185742000
4385
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3186026000
4386
# In  --> Decoder enabled at time 3186748000 in host
4387
# In  --> StopTime = x, SE0StartTime = x
4388
# In  --> receive data = d2
4389
# In  --> StopTime = x, SE0StartTime = x
4390
# In  --> EOP asserted for 2 bit time at time 3187538000
4391
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4392
# CntrlTransType = 10
4393
# In  --> In task wait for response at time 3190698000
4394
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3191066000
4395
# In  --> Decoder enabled at time 3191791000 in host
4396
# In  --> receive data = 4b
4397
# In  --> receive data = 00
4398
# In  --> receive data = 00
4399
# In  --> receive data = 00
4400
# In  --> receive data = 00
4401
# In  --> receive data = ff
4402
# In  --> StopTime = x, SE0StartTime = x
4403
# In  --> receive data = db
4404
# In  --> StopTime = x, SE0StartTime = x
4405
# In  --> EOP asserted for 2 bit time at time 3196673000
4406
# In  --> Data toggle recevied is 1001011 at time 3196757000
4407
# In  --> received byte[1] = 00000000
4408
# In  --> received byte[2] = 00000000
4409
# In  --> received byte[3] = 00000000
4410
# In  --> received byte[4] = 00000000
4411
# In  --> calculated crc is 24 at time 3196757000.
4412
# In  --> received raw crc is 24 at time 3196757000.
4413
# In  --> received crc is ffdb at time 3196757000.
4414
# In  --> tmpCrc ffdb, at time 3196757000
4415
# In  --> sending ACK at time 3198678000
4416
# RecvBuffer[0]  = 00000000  : 0
4417
# RecvBuffer[1]  = 00000000  : 0
4418
# RecvBuffer[2]  = 00000000  : 0
4419
# RecvBuffer[3]  = 00000000  : 0
4420
# In  --> In task wait for response at time 3205230000
4421
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3205535000
4422
# In  --> Decoder enabled at time 3206233000 in host
4423
# In  --> StopTime = x, SE0StartTime = x
4424
# In  --> receive data = d2
4425
# In  --> StopTime = x, SE0StartTime = x
4426
# In  --> EOP asserted for 2 bit time at time 3207047000
4427
# CntrlTransType = 10
4428
# In  --> In task wait for response at time 3218922000
4429
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3219227000
4430
# In  --> Decoder enabled at time 3219925000 in host
4431
# In  --> StopTime = x, SE0StartTime = x
4432
# In  --> receive data = d2
4433
# In  --> StopTime = x, SE0StartTime = x
4434
# In  --> EOP asserted for 2 bit time at time 3220739000
4435
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4436
# CntrlTransType = 10
4437
# In  --> In task wait for response at time 3223878000
4438
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3224267000
4439
# In  --> Decoder enabled at time 3224971000 in host
4440
# In  --> receive data = 4b
4441
# In  --> receive data = 00
4442
# In  --> receive data = 00
4443
# In  --> receive data = 00
4444
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  65
4445
# ... Read Data from UART done cnt :        30...
4446
# In  --> receive data = 63
4447
# In  --> receive data = bf
4448
# In  --> StopTime = x, SE0StartTime = x
4449
# In  --> receive data = f2
4450
# In  --> StopTime = x, SE0StartTime = x
4451
# In  --> EOP asserted for 2 bit time at time 3229853000
4452
# In  --> Data toggle recevied is 1001011 at time 3229937000
4453
# In  --> received byte[1] = 00000000
4454
# In  --> received byte[2] = 00000000
4455
# In  --> received byte[3] = 00000000
4456
# In  --> received byte[4] = 01100011
4457
# In  --> calculated crc is 2b0 at time 3229937000.
4458
# In  --> received raw crc is 2b0 at time 3229937000.
4459
# In  --> received crc is bff2 at time 3229937000.
4460
# In  --> tmpCrc bff2, at time 3229937000
4461
# In  --> sending ACK at time 3231858000
4462
# RecvBuffer[0]  = 00000000  : 0
4463
# RecvBuffer[1]  = 00000000  : 0
4464
# RecvBuffer[2]  = 00000000  : 0
4465
# RecvBuffer[3]  = 01100011  : 99
4466
# In  --> In task wait for response at time 3238410000
4467
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3238715000
4468
# In  --> Decoder enabled at time 3239413000 in host
4469
# In  --> StopTime = x, SE0StartTime = x
4470
# In  --> receive data = d2
4471
# In  --> StopTime = x, SE0StartTime = x
4472
# In  --> EOP asserted for 2 bit time at time 3240206000
4473
# CntrlTransType = 11
4474
# In  --> In task wait for response at time 3252102000
4475
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3252386000
4476
# In  --> Decoder enabled at time 3253105000 in host
4477
# In  --> StopTime = x, SE0StartTime = x
4478
# In  --> receive data = d2
4479
# In  --> StopTime = x, SE0StartTime = x
4480
# In  --> EOP asserted for 2 bit time at time 3253898000
4481
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4482
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
4483
# In  --> DataToggle is 1
4484
# In  --> DataToggle is 4b at time 3257142000.
4485
# In  --> sending byte[1] = 00000000
4486
# In  --> sending byte[2] = 00000000
4487
# In  --> sending byte[3] = 00000000
4488
# In  --> sending byte[4] = 01100011
4489
# In  --> raw crc is 2b0 at time             3257142
4490
# In  --> sent crc is bff2 at time             3257142
4491
# In  --> In task wait for response at time 3263190000
4492
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3263474000
4493
# In  --> Decoder enabled at time 3264210000 in host
4494
# In  --> StopTime = x, SE0StartTime = x
4495
# In  --> receive data = d2
4496
# In  --> StopTime = x, SE0StartTime = x
4497
# In  --> EOP asserted for 2 bit time at time 3265007000
4498
# In  --> bits received are 7
4499
# In  --> ACK received at time 3265007000.
4500
# Input Address:01, EndPt:0
4501
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
4502
# In  CntrlTransType = 11, WRITE = 11
4503
# In  --> In task wait for response at time 3268230000
4504
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3268619000
4505
# In  --> Decoder enabled at time 3269316000 in host
4506
# In  --> receive data = 4b
4507
# In  --> receive data = 00
4508
# In  --> StopTime = x, SE0StartTime = x
4509
# In  --> receive data = 00
4510
# In  --> StopTime = x, SE0StartTime = x
4511
# In  --> EOP asserted for 2 bit time at time 3271454000
4512
#
4513
# ... Reading the UART Status: 00000063 ...
4514
# CntrlTransType = 10
4515
# In  --> In task wait for response at time 3285282000
4516
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3285566000
4517
# In  --> Decoder enabled at time 3286303000 in host
4518
# In  --> StopTime = x, SE0StartTime = x
4519
# In  --> receive data = d2
4520
# In  --> StopTime = x, SE0StartTime = x
4521
# In  --> EOP asserted for 2 bit time at time 3287099000
4522
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4523
# CntrlTransType = 10
4524
# In  --> In task wait for response at time 3290238000
4525
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3290627000
4526
# In  --> Decoder enabled at time 3291323000 in host
4527
# In  --> receive data = 4b
4528
# In  --> receive data = 00
4529
# In  --> receive data = 00
4530
# In  --> receive data = 00
4531
# In  --> receive data = 00
4532
# In  --> receive data = ff
4533
# In  --> StopTime = x, SE0StartTime = x
4534
# In  --> receive data = db
4535
# In  --> StopTime = x, SE0StartTime = x
4536
# In  --> EOP asserted for 2 bit time at time 3296213000
4537
# In  --> Data toggle recevied is 1001011 at time 3296297000
4538
# In  --> received byte[1] = 00000000
4539
# In  --> received byte[2] = 00000000
4540
# In  --> received byte[3] = 00000000
4541
# In  --> received byte[4] = 00000000
4542
# In  --> calculated crc is 24 at time 3296297000.
4543
# In  --> received raw crc is 24 at time 3296297000.
4544
# In  --> received crc is ffdb at time 3296297000.
4545
# In  --> tmpCrc ffdb, at time 3296297000
4546
# In  --> sending ACK at time 3298218000
4547
# RecvBuffer[0]  = 00000000  : 0
4548
# RecvBuffer[1]  = 00000000  : 0
4549
# RecvBuffer[2]  = 00000000  : 0
4550
# RecvBuffer[3]  = 00000000  : 0
4551
# In  --> In task wait for response at time 3304770000
4552
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3305075000
4553
# In  --> Decoder enabled at time 3305786000 in host
4554
# In  --> StopTime = x, SE0StartTime = x
4555
# In  --> receive data = d2
4556
# In  --> StopTime = x, SE0StartTime = x
4557
# In  --> EOP asserted for 2 bit time at time 3306587000
4558
# CntrlTransType = 10
4559
# In  --> In task wait for response at time 3318462000
4560
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3318767000
4561
# In  --> Decoder enabled at time 3319477000 in host
4562
# In  --> StopTime = x, SE0StartTime = x
4563
# In  --> receive data = d2
4564
# In  --> StopTime = x, SE0StartTime = x
4565
# In  --> EOP asserted for 2 bit time at time 3320279000
4566
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4567
# CntrlTransType = 10
4568
# In  --> In task wait for response at time 3323418000
4569
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3323807000
4570
# In  --> Decoder enabled at time 3324521000 in host
4571
# In  --> receive data = 4b
4572
# In  --> receive data = 00
4573
# In  --> receive data = 00
4574
# In  --> receive data = 00
4575
# In  --> receive data = 0a
4576
# In  --> receive data = 7f
4577
# In  --> StopTime = x, SE0StartTime = x
4578
# In  --> receive data = dc
4579
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  63
4580
# ... Read Data from UART done cnt :        31...
4581
# In  --> StopTime = x, SE0StartTime = x
4582
# In  --> EOP asserted for 2 bit time at time 3329414000
4583
# In  --> Data toggle recevied is 1001011 at time 3329498000
4584
# In  --> received byte[1] = 00000000
4585
# In  --> received byte[2] = 00000000
4586
# In  --> received byte[3] = 00000000
4587
# In  --> received byte[4] = 00001010
4588
# In  --> calculated crc is 1c4 at time 3329498000.
4589
# In  --> received raw crc is 1c4 at time 3329498000.
4590
# In  --> received crc is 7fdc at time 3329498000.
4591
# In  --> tmpCrc 7fdc, at time 3329498000
4592
# In  --> sending ACK at time 3331398000
4593
# RecvBuffer[0]  = 00000000  : 0
4594
# RecvBuffer[1]  = 00000000  : 0
4595
# RecvBuffer[2]  = 00000000  : 0
4596
# RecvBuffer[3]  = 00001010  : 10
4597
# In  --> In task wait for response at time 3337950000
4598
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3338234000
4599
# In  --> Decoder enabled at time 3338963000 in host
4600
# In  --> StopTime = x, SE0StartTime = x
4601
# In  --> receive data = d2
4602
# In  --> StopTime = x, SE0StartTime = x
4603
# In  --> EOP asserted for 2 bit time at time 3339767000
4604
# CntrlTransType = 11
4605
# In  --> In task wait for response at time 3351642000
4606
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3351947000
4607
# In  --> Decoder enabled at time 3352655000 in host
4608
# In  --> StopTime = x, SE0StartTime = x
4609
# In  --> receive data = d2
4610
# In  --> StopTime = x, SE0StartTime = x
4611
# In  --> EOP asserted for 2 bit time at time 3353459000
4612
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4613
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
4614
# In  --> DataToggle is 1
4615
# In  --> DataToggle is 4b at time 3356682000.
4616
# In  --> sending byte[1] = 00000000
4617
# In  --> sending byte[2] = 00000000
4618
# In  --> sending byte[3] = 00000000
4619
# In  --> sending byte[4] = 00001010
4620
# In  --> raw crc is 1c4 at time             3356682
4621
# In  --> sent crc is 7fdc at time             3356682
4622
# In  --> In task wait for response at time 3362730000
4623
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3363035000
4624
# In  --> Decoder enabled at time 3363742000 in host
4625
# In  --> StopTime = x, SE0StartTime = x
4626
# In  --> receive data = d2
4627
# In  --> StopTime = x, SE0StartTime = x
4628
# In  --> EOP asserted for 2 bit time at time 3364547000
4629
# In  --> bits received are 7
4630
# In  --> ACK received at time 3364547000.
4631
# Input Address:01, EndPt:0
4632
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
4633
# In  CntrlTransType = 11, WRITE = 11
4634
# In  --> In task wait for response at time 3367770000
4635
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3368159000
4636
# In  --> Decoder enabled at time 3368871000 in host
4637
# In  --> receive data = 4b
4638
# In  --> receive data = 00
4639
# In  --> StopTime = x, SE0StartTime = x
4640
# In  --> receive data = 00
4641
# In  --> StopTime = x, SE0StartTime = x
4642
# In  --> EOP asserted for 2 bit time at time 3371015000
4643
#
4644
# ... Reading the UART Status: 0000000a ...
4645
# CntrlTransType = 10
4646
# In  --> In task wait for response at time 3384822000
4647
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3385127000
4648
# In  --> Decoder enabled at time 3385835000 in host
4649
# In  --> StopTime = x, SE0StartTime = x
4650
# In  --> receive data = d2
4651
# In  --> StopTime = x, SE0StartTime = x
4652
# In  --> EOP asserted for 2 bit time at time 3386639000
4653
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4654
# CntrlTransType = 10
4655
# In  --> In task wait for response at time 3389778000
4656
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3390167000
4657
# In  --> Decoder enabled at time 3390875000 in host
4658
# In  --> receive data = 4b
4659
# In  --> receive data = 00
4660
# In  --> receive data = 00
4661
# In  --> receive data = 00
4662
# In  --> receive data = 00
4663
# In  --> receive data = ff
4664
# In  --> StopTime = x, SE0StartTime = x
4665
# In  --> receive data = db
4666
# In  --> StopTime = x, SE0StartTime = x
4667
# In  --> EOP asserted for 2 bit time at time 3395774000
4668
# In  --> Data toggle recevied is 1001011 at time 3395858000
4669
# In  --> received byte[1] = 00000000
4670
# In  --> received byte[2] = 00000000
4671
# In  --> received byte[3] = 00000000
4672
# In  --> received byte[4] = 00000000
4673
# In  --> calculated crc is 24 at time 3395858000.
4674
# In  --> received raw crc is 24 at time 3395858000.
4675
# In  --> received crc is ffdb at time 3395858000.
4676
# In  --> tmpCrc ffdb, at time 3395858000
4677
# In  --> sending ACK at time 3397758000
4678
# RecvBuffer[0]  = 00000000  : 0
4679
# RecvBuffer[1]  = 00000000  : 0
4680
# RecvBuffer[2]  = 00000000  : 0
4681
# RecvBuffer[3]  = 00000000  : 0
4682
# In  --> In task wait for response at time 3404310000
4683
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3404594000
4684
# In  --> Decoder enabled at time 3405317000 in host
4685
# In  --> StopTime = x, SE0StartTime = x
4686
# In  --> receive data = d2
4687
# In  --> StopTime = x, SE0StartTime = x
4688
# In  --> EOP asserted for 2 bit time at time 3406106000
4689
# CntrlTransType = 10
4690
# In  --> In task wait for response at time 3418002000
4691
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3418286000
4692
# In  --> Decoder enabled at time 3419009000 in host
4693
# In  --> StopTime = x, SE0StartTime = x
4694
# In  --> receive data = d2
4695
# In  --> StopTime = x, SE0StartTime = x
4696
# In  --> EOP asserted for 2 bit time at time 3419798000
4697
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4698
# CntrlTransType = 10
4699
# In  --> In task wait for response at time 3422958000
4700
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3423326000
4701
# In  --> Decoder enabled at time 3424053000 in host
4702
# In  --> receive data = 4b
4703
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  0a
4704
# ... Read Data from UART done cnt :        32...
4705
# In  --> receive data = 00
4706
# In  --> receive data = 00
4707
# In  --> receive data = 00
4708
# In  --> receive data = 80
4709
# In  --> receive data = fe
4710
# In  --> StopTime = x, SE0StartTime = x
4711
# In  --> receive data = 7b
4712
# In  --> StopTime = x, SE0StartTime = x
4713
# In  --> EOP asserted for 2 bit time at time 3428933000
4714
# In  --> Data toggle recevied is 1001011 at time 3429017000
4715
# In  --> received byte[1] = 00000000
4716
# In  --> received byte[2] = 00000000
4717
# In  --> received byte[3] = 00000000
4718
# In  --> received byte[4] = 10000000
4719
# In  --> calculated crc is 8021 at time 3429017000.
4720
# In  --> received raw crc is 8021 at time 3429017000.
4721
# In  --> received crc is fe7b at time 3429017000.
4722
# In  --> tmpCrc fe7b, at time 3429017000
4723
# In  --> sending ACK at time 3430938000
4724
# RecvBuffer[0]  = 00000000  : 0
4725
# RecvBuffer[1]  = 00000000  : 0
4726
# RecvBuffer[2]  = 00000000  : 0
4727
# RecvBuffer[3]  = 10000000  : 128
4728
# In  --> In task wait for response at time 3437490000
4729
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3437795000
4730
# In  --> Decoder enabled at time 3438495000 in host
4731
# In  --> StopTime = x, SE0StartTime = x
4732
# In  --> receive data = d2
4733
# In  --> StopTime = x, SE0StartTime = x
4734
# In  --> EOP asserted for 2 bit time at time 3439307000
4735
# CntrlTransType = 11
4736
# In  --> In task wait for response at time 3451182000
4737
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3451487000
4738
# In  --> Decoder enabled at time 3452187000 in host
4739
# In  --> StopTime = x, SE0StartTime = x
4740
# In  --> receive data = d2
4741
# In  --> StopTime = x, SE0StartTime = x
4742
# In  --> EOP asserted for 2 bit time at time 3452999000
4743
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4744
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
4745
# In  --> DataToggle is 1
4746
# In  --> DataToggle is 4b at time 3456222000.
4747
# In  --> sending byte[1] = 00000000
4748
# In  --> sending byte[2] = 00000000
4749
# In  --> sending byte[3] = 00000000
4750
# In  --> sending byte[4] = 10000000
4751
# In  --> raw crc is 8021 at time             3456222
4752
# In  --> sent crc is fe7b at time             3456222
4753
# In  --> In task wait for response at time 3462270000
4754
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3462575000
4755
# In  --> Decoder enabled at time 3463273000 in host
4756
# In  --> StopTime = x, SE0StartTime = x
4757
# In  --> receive data = d2
4758
# In  --> StopTime = x, SE0StartTime = x
4759
# In  --> EOP asserted for 2 bit time at time 3464087000
4760
# In  --> bits received are 7
4761
# In  --> ACK received at time 3464087000.
4762
# Input Address:01, EndPt:0
4763
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
4764
# In  CntrlTransType = 11, WRITE = 11
4765
# In  --> In task wait for response at time 3467310000
4766
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3467699000
4767
# In  --> Decoder enabled at time 3468403000 in host
4768
# In  --> receive data = 4b
4769
# In  --> receive data = 00
4770
# In  --> StopTime = x, SE0StartTime = x
4771
# In  --> receive data = 00
4772
# In  --> StopTime = x, SE0StartTime = x
4773
# In  --> EOP asserted for 2 bit time at time 3470534000
4774
#
4775
# ... Reading the UART Status: 00000082 ...
4776
# CntrlTransType = 10
4777
# In  --> In task wait for response at time 3484362000
4778
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3484646000
4779
# In  --> Decoder enabled at time 3485364000 in host
4780
# In  --> StopTime = x, SE0StartTime = x
4781
# In  --> receive data = d2
4782
# In  --> StopTime = x, SE0StartTime = x
4783
# In  --> EOP asserted for 2 bit time at time 3486158000
4784
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4785
# CntrlTransType = 10
4786
# In  --> In task wait for response at time 3489318000
4787
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3489686000
4788
# In  --> Decoder enabled at time 3490410000 in host
4789
# In  --> receive data = 4b
4790
# In  --> receive data = 00
4791
# In  --> receive data = 00
4792
# In  --> receive data = 00
4793
# In  --> receive data = 00
4794
# In  --> receive data = ff
4795
# In  --> StopTime = x, SE0StartTime = x
4796
# In  --> receive data = db
4797
# In  --> StopTime = x, SE0StartTime = x
4798
# In  --> EOP asserted for 2 bit time at time 3495293000
4799
# In  --> Data toggle recevied is 1001011 at time 3495377000
4800
# In  --> received byte[1] = 00000000
4801
# In  --> received byte[2] = 00000000
4802
# In  --> received byte[3] = 00000000
4803
# In  --> received byte[4] = 00000000
4804
# In  --> calculated crc is 24 at time 3495377000.
4805
# In  --> received raw crc is 24 at time 3495377000.
4806
# In  --> received crc is ffdb at time 3495377000.
4807
# In  --> tmpCrc ffdb, at time 3495377000
4808
# In  --> sending ACK at time 3497298000
4809
# RecvBuffer[0]  = 00000000  : 0
4810
# RecvBuffer[1]  = 00000000  : 0
4811
# RecvBuffer[2]  = 00000000  : 0
4812
# RecvBuffer[3]  = 00000000  : 0
4813
# In  --> In task wait for response at time 3503850000
4814
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3504155000
4815
# In  --> Decoder enabled at time 3504873000 in host
4816
# In  --> StopTime = x, SE0StartTime = x
4817
# In  --> receive data = d2
4818
# In  --> StopTime = x, SE0StartTime = x
4819
# In  --> EOP asserted for 2 bit time at time 3505667000
4820
# CntrlTransType = 10
4821
# In  --> In task wait for response at time 3517542000
4822
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3517847000
4823
# In  --> Decoder enabled at time 3518565000 in host
4824
# In  --> StopTime = x, SE0StartTime = x
4825
# In  --> receive data = d2
4826
# In  --> StopTime = x, SE0StartTime = x
4827
# In  --> EOP asserted for 2 bit time at time 3519359000
4828
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4829
# CntrlTransType = 10
4830
# In  --> In task wait for response at time 3522498000
4831
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3522887000
4832
# In  --> Decoder enabled at time 3523584000 in host
4833
# In  --> receive data = 4b
4834
# In  --> receive data = 00
4835
# In  --> receive data = 00
4836
# In  --> receive data = 00
4837
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  80
4838
# ... Read Data from UART done cnt :        33...
4839
# In  --> receive data = 20
4840
# In  --> receive data = fe
4841
# In  --> StopTime = x, SE0StartTime = x
4842
# In  --> receive data = 03
4843
# In  --> StopTime = x, SE0StartTime = x
4844
# In  --> EOP asserted for 2 bit time at time 3528473000
4845
# In  --> Data toggle recevied is 1001011 at time 3528557000
4846
# In  --> received byte[1] = 00000000
4847
# In  --> received byte[2] = 00000000
4848
# In  --> received byte[3] = 00000000
4849
# In  --> received byte[4] = 00100000
4850
# In  --> calculated crc is 803f at time 3528557000.
4851
# In  --> received raw crc is 803f at time 3528557000.
4852
# In  --> received crc is fe03 at time 3528557000.
4853
# In  --> tmpCrc fe03, at time 3528557000
4854
# In  --> sending ACK at time 3530478000
4855
# RecvBuffer[0]  = 00000000  : 0
4856
# RecvBuffer[1]  = 00000000  : 0
4857
# RecvBuffer[2]  = 00000000  : 0
4858
# RecvBuffer[3]  = 00100000  : 32
4859
# In  --> In task wait for response at time 3537030000
4860
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3537335000
4861
# In  --> Decoder enabled at time 3538047000 in host
4862
# In  --> StopTime = x, SE0StartTime = x
4863
# In  --> receive data = d2
4864
# In  --> StopTime = x, SE0StartTime = x
4865
# In  --> EOP asserted for 2 bit time at time 3538847000
4866
# CntrlTransType = 11
4867
# In  --> In task wait for response at time 3550722000
4868
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3551027000
4869
# In  --> Decoder enabled at time 3551742000 in host
4870
# In  --> StopTime = x, SE0StartTime = x
4871
# In  --> receive data = d2
4872
# In  --> StopTime = x, SE0StartTime = x
4873
# In  --> EOP asserted for 2 bit time at time 3552539000
4874
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4875
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
4876
# In  --> DataToggle is 1
4877
# In  --> DataToggle is 4b at time 3555762000.
4878
# In  --> sending byte[1] = 00000000
4879
# In  --> sending byte[2] = 00000000
4880
# In  --> sending byte[3] = 00000000
4881
# In  --> sending byte[4] = 00100000
4882
# In  --> raw crc is 803f at time             3555762
4883
# In  --> sent crc is fe03 at time             3555762
4884
# In  --> In task wait for response at time 3561810000
4885
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3562115000
4886
# In  --> Decoder enabled at time 3562829000 in host
4887
# In  --> StopTime = x, SE0StartTime = x
4888
# In  --> receive data = d2
4889
# In  --> StopTime = x, SE0StartTime = x
4890
# In  --> EOP asserted for 2 bit time at time 3563627000
4891
# In  --> bits received are 7
4892
# In  --> ACK received at time 3563627000.
4893
# Input Address:01, EndPt:0
4894
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
4895
# In  CntrlTransType = 11, WRITE = 11
4896
# In  --> In task wait for response at time 3566850000
4897
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3567239000
4898
# In  --> Decoder enabled at time 3567953000 in host
4899
# In  --> receive data = 4b
4900
# In  --> receive data = 00
4901
# In  --> StopTime = x, SE0StartTime = x
4902
# In  --> receive data = 00
4903
# In  --> StopTime = x, SE0StartTime = x
4904
# In  --> EOP asserted for 2 bit time at time 3570095000
4905
#
4906
# ... Reading the UART Status: 00000022 ...
4907
# CntrlTransType = 10
4908
# In  --> In task wait for response at time 3583902000
4909
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3584207000
4910
# In  --> Decoder enabled at time 3584917000 in host
4911
# In  --> StopTime = x, SE0StartTime = x
4912
# In  --> receive data = d2
4913
# In  --> StopTime = x, SE0StartTime = x
4914
# In  --> EOP asserted for 2 bit time at time 3585719000
4915
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4916
# CntrlTransType = 10
4917
# In  --> In task wait for response at time 3588858000
4918
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3589163000
4919
# In  --> Decoder enabled at time 3589876000 in host
4920
# In  --> receive data = 4b
4921
# In  --> receive data = 00
4922
# In  --> receive data = 00
4923
# In  --> receive data = 00
4924
# In  --> receive data = 00
4925
# In  --> receive data = ff
4926
# In  --> StopTime = x, SE0StartTime = x
4927
# In  --> receive data = db
4928
# In  --> StopTime = x, SE0StartTime = x
4929
# In  --> EOP asserted for 2 bit time at time 3594770000
4930
# In  --> Data toggle recevied is 1001011 at time 3594854000
4931
# In  --> received byte[1] = 00000000
4932
# In  --> received byte[2] = 00000000
4933
# In  --> received byte[3] = 00000000
4934
# In  --> received byte[4] = 00000000
4935
# In  --> calculated crc is 24 at time 3594854000.
4936
# In  --> received raw crc is 24 at time 3594854000.
4937
# In  --> received crc is ffdb at time 3594854000.
4938
# In  --> tmpCrc ffdb, at time 3594854000
4939
# In  --> sending ACK at time 3596754000
4940
# RecvBuffer[0]  = 00000000  : 0
4941
# RecvBuffer[1]  = 00000000  : 0
4942
# RecvBuffer[2]  = 00000000  : 0
4943
# RecvBuffer[3]  = 00000000  : 0
4944
# In  --> In task wait for response at time 3603306000
4945
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3603590000
4946
# In  --> Decoder enabled at time 3604319000 in host
4947
# In  --> StopTime = x, SE0StartTime = x
4948
# In  --> receive data = d2
4949
# In  --> StopTime = x, SE0StartTime = x
4950
# In  --> EOP asserted for 2 bit time at time 3605123000
4951
# CntrlTransType = 10
4952
# In  --> In task wait for response at time 3616998000
4953
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3617303000
4954
# In  --> Decoder enabled at time 3618010000 in host
4955
# In  --> StopTime = x, SE0StartTime = x
4956
# In  --> receive data = d2
4957
# In  --> StopTime = x, SE0StartTime = x
4958
# In  --> EOP asserted for 2 bit time at time 3618815000
4959
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
4960
# CntrlTransType = 10
4961
# In  --> In task wait for response at time 3621954000
4962
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3622343000
4963
# In  --> Decoder enabled at time 3623054000 in host
4964
# In  --> receive data = 4b
4965
# In  --> receive data = 00
4966
# In  --> receive data = 00
4967
# In  --> receive data = 00
4968
# In  --> receive data = aa
4969
# In  --> receive data = 7f
4970
# In  --> StopTime = x, SE0StartTime = x
4971
# In  --> receive data = a4
4972
# In  --> StopTime = x, SE0StartTime = x
4973
# In  --> EOP asserted for 2 bit time at time 3627950000
4974
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  20
4975
# ... Read Data from UART done cnt :        34...
4976
# In  --> Data toggle recevied is 1001011 at time 3628034000
4977
# In  --> received byte[1] = 00000000
4978
# In  --> received byte[2] = 00000000
4979
# In  --> received byte[3] = 00000000
4980
# In  --> received byte[4] = 10101010
4981
# In  --> calculated crc is 1da at time 3628034000.
4982
# In  --> received raw crc is 1da at time 3628034000.
4983
# In  --> received crc is 7fa4 at time 3628034000.
4984
# In  --> tmpCrc 7fa4, at time 3628034000
4985
# In  --> sending ACK at time 3629934000
4986
# RecvBuffer[0]  = 00000000  : 0
4987
# RecvBuffer[1]  = 00000000  : 0
4988
# RecvBuffer[2]  = 00000000  : 0
4989
# RecvBuffer[3]  = 10101010  : 170
4990
# In  --> In task wait for response at time 3636486000
4991
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3636770000
4992
# In  --> Decoder enabled at time 3637496000 in host
4993
# In  --> StopTime = x, SE0StartTime = x
4994
# In  --> receive data = d2
4995
# In  --> StopTime = x, SE0StartTime = x
4996
# In  --> EOP asserted for 2 bit time at time 3638282000
4997
# CntrlTransType = 11
4998
# In  --> In task wait for response at time 3650178000
4999
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3650462000
5000
# In  --> Decoder enabled at time 3651188000 in host
5001
# In  --> StopTime = x, SE0StartTime = x
5002
# In  --> receive data = d2
5003
# In  --> StopTime = x, SE0StartTime = x
5004
# In  --> EOP asserted for 2 bit time at time 3651974000
5005
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5006
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
5007
# In  --> DataToggle is 1
5008
# In  --> DataToggle is 4b at time 3655218000.
5009
# In  --> sending byte[1] = 00000000
5010
# In  --> sending byte[2] = 00000000
5011
# In  --> sending byte[3] = 00000000
5012
# In  --> sending byte[4] = 10101010
5013
# In  --> raw crc is 1da at time             3655218
5014
# In  --> sent crc is 7fa4 at time             3655218
5015
# In  --> In task wait for response at time 3661266000
5016
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3661550000
5017
# In  --> Decoder enabled at time 3662275000 in host
5018
# In  --> StopTime = x, SE0StartTime = x
5019
# In  --> receive data = d2
5020
# In  --> StopTime = x, SE0StartTime = x
5021
# In  --> EOP asserted for 2 bit time at time 3663062000
5022
# In  --> bits received are 7
5023
# In  --> ACK received at time 3663062000.
5024
# Input Address:01, EndPt:0
5025
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
5026
# In  CntrlTransType = 11, WRITE = 11
5027
# In  --> In task wait for response at time 3666306000
5028
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3666674000
5029
# In  --> Decoder enabled at time 3667404000 in host
5030
# In  --> receive data = 4b
5031
# In  --> receive data = 00
5032
# In  --> StopTime = x, SE0StartTime = x
5033
# In  --> receive data = 00
5034
# In  --> StopTime = x, SE0StartTime = x
5035
# In  --> EOP asserted for 2 bit time at time 3669530000
5036
#
5037
# ... Reading the UART Status: 000000aa ...
5038
# CntrlTransType = 10
5039
# In  --> In task wait for response at time 3683358000
5040
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3683642000
5041
# In  --> Decoder enabled at time 3684368000 in host
5042
# In  --> StopTime = x, SE0StartTime = x
5043
# In  --> receive data = d2
5044
# In  --> StopTime = x, SE0StartTime = x
5045
# In  --> EOP asserted for 2 bit time at time 3685154000
5046
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5047
# CntrlTransType = 10
5048
# In  --> In task wait for response at time 3688314000
5049
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3688682000
5050
# In  --> Decoder enabled at time 3689408000 in host
5051
# In  --> receive data = 4b
5052
# In  --> receive data = 00
5053
# In  --> receive data = 00
5054
# In  --> receive data = 00
5055
# In  --> receive data = 00
5056
# In  --> receive data = ff
5057
# In  --> StopTime = x, SE0StartTime = x
5058
# In  --> receive data = db
5059
# In  --> StopTime = x, SE0StartTime = x
5060
# In  --> EOP asserted for 2 bit time at time 3694289000
5061
# In  --> Data toggle recevied is 1001011 at time 3694373000
5062
# In  --> received byte[1] = 00000000
5063
# In  --> received byte[2] = 00000000
5064
# In  --> received byte[3] = 00000000
5065
# In  --> received byte[4] = 00000000
5066
# In  --> calculated crc is 24 at time 3694373000.
5067
# In  --> received raw crc is 24 at time 3694373000.
5068
# In  --> received crc is ffdb at time 3694373000.
5069
# In  --> tmpCrc ffdb, at time 3694373000
5070
# In  --> sending ACK at time 3696294000
5071
# RecvBuffer[0]  = 00000000  : 0
5072
# RecvBuffer[1]  = 00000000  : 0
5073
# RecvBuffer[2]  = 00000000  : 0
5074
# RecvBuffer[3]  = 00000000  : 0
5075
# In  --> In task wait for response at time 3702846000
5076
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3703151000
5077
# In  --> Decoder enabled at time 3703850000 in host
5078
# In  --> StopTime = x, SE0StartTime = x
5079
# In  --> receive data = d2
5080
# In  --> StopTime = x, SE0StartTime = x
5081
# In  --> EOP asserted for 2 bit time at time 3704663000
5082
# CntrlTransType = 10
5083
# In  --> In task wait for response at time 3716538000
5084
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3716843000
5085
# In  --> Decoder enabled at time 3717542000 in host
5086
# In  --> StopTime = x, SE0StartTime = x
5087
# In  --> receive data = d2
5088
# In  --> StopTime = x, SE0StartTime = x
5089
# In  --> EOP asserted for 2 bit time at time 3718355000
5090
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5091
# CntrlTransType = 10
5092
# In  --> In task wait for response at time 3721494000
5093
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3721883000
5094
# In  --> Decoder enabled at time 3722586000 in host
5095
# In  --> receive data = 4b
5096
# In  --> receive data = 00
5097
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  aa
5098
# ... Read Data from UART done cnt :        35...
5099
# In  --> receive data = 00
5100
# In  --> receive data = 00
5101
# In  --> receive data = 9d
5102
# In  --> receive data = 3e
5103
# In  --> StopTime = x, SE0StartTime = x
5104
# In  --> receive data = 72
5105
# In  --> StopTime = x, SE0StartTime = x
5106
# In  --> EOP asserted for 2 bit time at time 3727385000
5107
# In  --> Data toggle recevied is 1001011 at time 3727469000
5108
# In  --> received byte[1] = 00000000
5109
# In  --> received byte[2] = 00000000
5110
# In  --> received byte[3] = 00000000
5111
# In  --> received byte[4] = 10011101
5112
# In  --> calculated crc is 83b1 at time 3727469000.
5113
# In  --> received raw crc is 83b1 at time 3727469000.
5114
# In  --> received crc is 3e72 at time 3727469000.
5115
# In  --> tmpCrc 3e72, at time 3727469000
5116
# In  --> sending ACK at time 3729390000
5117
# RecvBuffer[0]  = 00000000  : 0
5118
# RecvBuffer[1]  = 00000000  : 0
5119
# RecvBuffer[2]  = 00000000  : 0
5120
# RecvBuffer[3]  = 10011101  : 157
5121
# In  --> In task wait for response at time 3735942000
5122
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3736247000
5123
# In  --> Decoder enabled at time 3736944000 in host
5124
# In  --> StopTime = x, SE0StartTime = x
5125
# In  --> receive data = d2
5126
# In  --> StopTime = x, SE0StartTime = x
5127
# In  --> EOP asserted for 2 bit time at time 3737738000
5128
# CntrlTransType = 11
5129
# In  --> In task wait for response at time 3749634000
5130
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3749918000
5131
# In  --> Decoder enabled at time 3750639000 in host
5132
# In  --> StopTime = x, SE0StartTime = x
5133
# In  --> receive data = d2
5134
# In  --> StopTime = x, SE0StartTime = x
5135
# In  --> EOP asserted for 2 bit time at time 3751430000
5136
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5137
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
5138
# In  --> DataToggle is 1
5139
# In  --> DataToggle is 4b at time 3754674000.
5140
# In  --> sending byte[1] = 00000000
5141
# In  --> sending byte[2] = 00000000
5142
# In  --> sending byte[3] = 00000000
5143
# In  --> sending byte[4] = 10011101
5144
# In  --> raw crc is 83b1 at time             3754674
5145
# In  --> sent crc is 3e72 at time             3754674
5146
# In  --> In task wait for response at time 3760638000
5147
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3760922000
5148
# In  --> Decoder enabled at time 3761640000 in host
5149
# In  --> StopTime = x, SE0StartTime = x
5150
# In  --> receive data = d2
5151
# In  --> StopTime = x, SE0StartTime = x
5152
# In  --> EOP asserted for 2 bit time at time 3762434000
5153
# In  --> bits received are 7
5154
# In  --> ACK received at time 3762434000.
5155
# Input Address:01, EndPt:0
5156
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
5157
# In  CntrlTransType = 11, WRITE = 11
5158
# In  --> In task wait for response at time 3765678000
5159
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3766046000
5160
# In  --> Decoder enabled at time 3766766000 in host
5161
# In  --> receive data = 4b
5162
# In  --> receive data = 00
5163
# In  --> StopTime = x, SE0StartTime = x
5164
# In  --> receive data = 00
5165
# In  --> StopTime = x, SE0StartTime = x
5166
# In  --> EOP asserted for 2 bit time at time 3768902000
5167
#
5168
# ... Reading the UART Status: 0000009f ...
5169
# CntrlTransType = 10
5170
# In  --> In task wait for response at time 3782730000
5171
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3783014000
5172
# In  --> Decoder enabled at time 3783733000 in host
5173
# In  --> StopTime = x, SE0StartTime = x
5174
# In  --> receive data = d2
5175
# In  --> StopTime = x, SE0StartTime = x
5176
# In  --> EOP asserted for 2 bit time at time 3784526000
5177
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5178
# CntrlTransType = 10
5179
# In  --> In task wait for response at time 3787686000
5180
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3788054000
5181
# In  --> Decoder enabled at time 3788773000 in host
5182
# In  --> receive data = 4b
5183
# In  --> receive data = 00
5184
# In  --> receive data = 00
5185
# In  --> receive data = 00
5186
# In  --> receive data = 00
5187
# In  --> receive data = ff
5188
# In  --> StopTime = x, SE0StartTime = x
5189
# In  --> receive data = db
5190
# In  --> StopTime = x, SE0StartTime = x
5191
# In  --> EOP asserted for 2 bit time at time 3793661000
5192
# In  --> Data toggle recevied is 1001011 at time 3793745000
5193
# In  --> received byte[1] = 00000000
5194
# In  --> received byte[2] = 00000000
5195
# In  --> received byte[3] = 00000000
5196
# In  --> received byte[4] = 00000000
5197
# In  --> calculated crc is 24 at time 3793745000.
5198
# In  --> received raw crc is 24 at time 3793745000.
5199
# In  --> received crc is ffdb at time 3793745000.
5200
# In  --> tmpCrc ffdb, at time 3793745000
5201
# In  --> sending ACK at time 3795666000
5202
# RecvBuffer[0]  = 00000000  : 0
5203
# RecvBuffer[1]  = 00000000  : 0
5204
# RecvBuffer[2]  = 00000000  : 0
5205
# RecvBuffer[3]  = 00000000  : 0
5206
# In  --> In task wait for response at time 3802218000
5207
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3802523000
5208
# In  --> Decoder enabled at time 3803236000 in host
5209
# In  --> StopTime = x, SE0StartTime = x
5210
# In  --> receive data = d2
5211
# In  --> StopTime = x, SE0StartTime = x
5212
# In  --> EOP asserted for 2 bit time at time 3804035000
5213
# CntrlTransType = 10
5214
# In  --> In task wait for response at time 3815910000
5215
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3816215000
5216
# In  --> Decoder enabled at time 3816931000 in host
5217
# In  --> StopTime = x, SE0StartTime = x
5218
# In  --> receive data = d2
5219
# In  --> StopTime = x, SE0StartTime = x
5220
# In  --> EOP asserted for 2 bit time at time 3817727000
5221
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5222
# CntrlTransType = 10
5223
# In  --> In task wait for response at time 3820866000
5224
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3821255000
5225
# In  --> Decoder enabled at time 3821951000 in host
5226
# In  --> receive data = 4b
5227
# In  --> receive data = 00
5228
# In  --> receive data = 00
5229
# In  --> receive data = 00
5230
# In  --> receive data = 96
5231
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  9d
5232
# ... Read Data from UART done cnt :        36...
5233
# In  --> receive data = 7f
5234
# In  --> StopTime = x, SE0StartTime = x
5235
# In  --> receive data = b5
5236
# In  --> StopTime = x, SE0StartTime = x
5237
# In  --> EOP asserted for 2 bit time at time 3826841000
5238
# In  --> Data toggle recevied is 1001011 at time 3826925000
5239
# In  --> received byte[1] = 00000000
5240
# In  --> received byte[2] = 00000000
5241
# In  --> received byte[3] = 00000000
5242
# In  --> received byte[4] = 10010110
5243
# In  --> calculated crc is 152 at time 3826925000.
5244
# In  --> received raw crc is 152 at time 3826925000.
5245
# In  --> received crc is 7fb5 at time 3826925000.
5246
# In  --> tmpCrc 7fb5, at time 3826925000
5247
# In  --> sending ACK at time 3828846000
5248
# RecvBuffer[0]  = 00000000  : 0
5249
# RecvBuffer[1]  = 00000000  : 0
5250
# RecvBuffer[2]  = 00000000  : 0
5251
# RecvBuffer[3]  = 10010110  : 150
5252
# In  --> In task wait for response at time 3835398000
5253
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3835703000
5254
# In  --> Decoder enabled at time 3836414000 in host
5255
# In  --> StopTime = x, SE0StartTime = x
5256
# In  --> receive data = d2
5257
# In  --> StopTime = x, SE0StartTime = x
5258
# In  --> EOP asserted for 2 bit time at time 3837215000
5259
# CntrlTransType = 11
5260
# In  --> In task wait for response at time 3849090000
5261
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3849395000
5262
# In  --> Decoder enabled at time 3850106000 in host
5263
# In  --> StopTime = x, SE0StartTime = x
5264
# In  --> receive data = d2
5265
# In  --> StopTime = x, SE0StartTime = x
5266
# In  --> EOP asserted for 2 bit time at time 3850907000
5267
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5268
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
5269
# In  --> DataToggle is 1
5270
# In  --> DataToggle is 4b at time 3854130000.
5271
# In  --> sending byte[1] = 00000000
5272
# In  --> sending byte[2] = 00000000
5273
# In  --> sending byte[3] = 00000000
5274
# In  --> sending byte[4] = 10010110
5275
# In  --> raw crc is 152 at time             3854130
5276
# In  --> sent crc is 7fb5 at time             3854130
5277
# In  --> In task wait for response at time 3860178000
5278
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3860483000
5279
# In  --> Decoder enabled at time 3861192000 in host
5280
# In  --> StopTime = x, SE0StartTime = x
5281
# In  --> receive data = d2
5282
# In  --> StopTime = x, SE0StartTime = x
5283
# In  --> EOP asserted for 2 bit time at time 3861995000
5284
# In  --> bits received are 7
5285
# In  --> ACK received at time 3861995000.
5286
# Input Address:01, EndPt:0
5287
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
5288
# In  CntrlTransType = 11, WRITE = 11
5289
# In  --> In task wait for response at time 3865218000
5290
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3865523000
5291
# In  --> Decoder enabled at time 3866236000 in host
5292
# In  --> receive data = 4b
5293
# In  --> receive data = 00
5294
# In  --> StopTime = x, SE0StartTime = x
5295
# In  --> receive data = 00
5296
# In  --> StopTime = x, SE0StartTime = x
5297
# In  --> EOP asserted for 2 bit time at time 3868379000
5298
#
5299
# ... Reading the UART Status: 00000096 ...
5300
# CntrlTransType = 10
5301
# In  --> In task wait for response at time 3882186000
5302
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3882491000
5303
# In  --> Decoder enabled at time 3883199000 in host
5304
# In  --> StopTime = x, SE0StartTime = x
5305
# In  --> receive data = d2
5306
# In  --> StopTime = x, SE0StartTime = x
5307
# In  --> EOP asserted for 2 bit time at time 3884003000
5308
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5309
# CntrlTransType = 10
5310
# In  --> In task wait for response at time 3887142000
5311
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3887447000
5312
# In  --> Decoder enabled at time 3888159000 in host
5313
# In  --> receive data = 4b
5314
# In  --> receive data = 00
5315
# In  --> receive data = 00
5316
# In  --> receive data = 00
5317
# In  --> receive data = 00
5318
# In  --> receive data = ff
5319
# In  --> StopTime = x, SE0StartTime = x
5320
# In  --> receive data = db
5321
# In  --> StopTime = x, SE0StartTime = x
5322
# In  --> EOP asserted for 2 bit time at time 3893054000
5323
# In  --> Data toggle recevied is 1001011 at time 3893138000
5324
# In  --> received byte[1] = 00000000
5325
# In  --> received byte[2] = 00000000
5326
# In  --> received byte[3] = 00000000
5327
# In  --> received byte[4] = 00000000
5328
# In  --> calculated crc is 24 at time 3893138000.
5329
# In  --> received raw crc is 24 at time 3893138000.
5330
# In  --> received crc is ffdb at time 3893138000.
5331
# In  --> tmpCrc ffdb, at time 3893138000
5332
# In  --> sending ACK at time 3895038000
5333
# RecvBuffer[0]  = 00000000  : 0
5334
# RecvBuffer[1]  = 00000000  : 0
5335
# RecvBuffer[2]  = 00000000  : 0
5336
# RecvBuffer[3]  = 00000000  : 0
5337
# In  --> In task wait for response at time 3901590000
5338
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3901874000
5339
# In  --> Decoder enabled at time 3902602000 in host
5340
# In  --> StopTime = x, SE0StartTime = x
5341
# In  --> receive data = d2
5342
# In  --> StopTime = x, SE0StartTime = x
5343
# In  --> EOP asserted for 2 bit time at time 3903386000
5344
# CntrlTransType = 10
5345
# In  --> In task wait for response at time 3915282000
5346
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3915566000
5347
# In  --> Decoder enabled at time 3916293000 in host
5348
# In  --> StopTime = x, SE0StartTime = x
5349
# In  --> receive data = d2
5350
# In  --> StopTime = x, SE0StartTime = x
5351
# In  --> EOP asserted for 2 bit time at time 3917078000
5352
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5353
# CntrlTransType = 10
5354
# In  --> In task wait for response at time 3920238000
5355
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3920606000
5356
# In  --> Decoder enabled at time 3921337000 in host
5357
# In  --> receive data = 4b
5358
# In  --> receive data = 00
5359
# In  --> receive data = 00
5360
# In  --> receive data = 00
5361
# In  --> receive data = 13
5362
# In  --> receive data = be
5363
# In  --> StopTime = x, SE0StartTime = x
5364
# In  --> receive data = 16
5365
# In  --> StopTime = x, SE0StartTime = x
5366
# In  --> EOP asserted for 2 bit time at time 3926150000
5367
# In  --> Data toggle recevied is 1001011 at time 3926234000
5368
# In  --> received byte[1] = 00000000
5369
# In  --> received byte[2] = 00000000
5370
# In  --> received byte[3] = 00000000
5371
# In  --> received byte[4] = 00010011
5372
# In  --> calculated crc is 8297 at time 3926234000.
5373
# In  --> received raw crc is 8297 at time 3926234000.
5374
# In  --> received crc is be16 at time 3926234000.
5375
# In  --> tmpCrc be16, at time 3926234000
5376
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  96
5377
# ... Read Data from UART done cnt :        37...
5378
# In  --> sending ACK at time 3928134000
5379
# RecvBuffer[0]  = 00000000  : 0
5380
# RecvBuffer[1]  = 00000000  : 0
5381
# RecvBuffer[2]  = 00000000  : 0
5382
# RecvBuffer[3]  = 00010011  : 19
5383
# In  --> In task wait for response at time 3934686000
5384
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3934970000
5385
# In  --> Decoder enabled at time 3935695000 in host
5386
# In  --> StopTime = x, SE0StartTime = x
5387
# In  --> receive data = d2
5388
# In  --> StopTime = x, SE0StartTime = x
5389
# In  --> EOP asserted for 2 bit time at time 3936482000
5390
# CntrlTransType = 11
5391
# In  --> In task wait for response at time 3948378000
5392
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3948662000
5393
# In  --> Decoder enabled at time 3949387000 in host
5394
# In  --> StopTime = x, SE0StartTime = x
5395
# In  --> receive data = d2
5396
# In  --> StopTime = x, SE0StartTime = x
5397
# In  --> EOP asserted for 2 bit time at time 3950174000
5398
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5399
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
5400
# In  --> DataToggle is 1
5401
# In  --> DataToggle is 4b at time 3953418000.
5402
# In  --> sending byte[1] = 00000000
5403
# In  --> sending byte[2] = 00000000
5404
# In  --> sending byte[3] = 00000000
5405
# In  --> sending byte[4] = 00010011
5406
# In  --> raw crc is 8297 at time             3953418
5407
# In  --> sent crc is be16 at time             3953418
5408
# In  --> In task wait for response at time 3959382000
5409
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3959666000
5410
# In  --> Decoder enabled at time 3960391000 in host
5411
# In  --> StopTime = x, SE0StartTime = x
5412
# In  --> receive data = d2
5413
# In  --> StopTime = x, SE0StartTime = x
5414
# In  --> EOP asserted for 2 bit time at time 3961178000
5415
# In  --> bits received are 7
5416
# In  --> ACK received at time 3961178000.
5417
# Input Address:01, EndPt:0
5418
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
5419
# In  CntrlTransType = 11, WRITE = 11
5420
# In  --> In task wait for response at time 3964422000
5421
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3964790000
5422
# In  --> Decoder enabled at time 3965517000 in host
5423
# In  --> receive data = 4b
5424
# In  --> receive data = 00
5425
# In  --> StopTime = x, SE0StartTime = x
5426
# In  --> receive data = 00
5427
# In  --> StopTime = x, SE0StartTime = x
5428
# In  --> EOP asserted for 2 bit time at time 3967646000
5429
#
5430
# ... Reading the UART Status: 00000013 ...
5431
# CntrlTransType = 10
5432
# In  --> In task wait for response at time 3981474000
5433
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3981758000
5434
# In  --> Decoder enabled at time 3982484000 in host
5435
# In  --> StopTime = x, SE0StartTime = x
5436
# In  --> receive data = d2
5437
# In  --> StopTime = x, SE0StartTime = x
5438
# In  --> EOP asserted for 2 bit time at time 3983270000
5439
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5440
# CntrlTransType = 10
5441
# In  --> In task wait for response at time 3986430000
5442
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 3986798000
5443
# In  --> Decoder enabled at time 3987525000 in host
5444
# In  --> receive data = 4b
5445
# In  --> receive data = 00
5446
# In  --> receive data = 00
5447
# In  --> receive data = 00
5448
# In  --> receive data = 00
5449
# In  --> receive data = ff
5450
# In  --> StopTime = x, SE0StartTime = x
5451
# In  --> receive data = db
5452
# In  --> StopTime = x, SE0StartTime = x
5453
# In  --> EOP asserted for 2 bit time at time 3992405000
5454
# In  --> Data toggle recevied is 1001011 at time 3992489000
5455
# In  --> received byte[1] = 00000000
5456
# In  --> received byte[2] = 00000000
5457
# In  --> received byte[3] = 00000000
5458
# In  --> received byte[4] = 00000000
5459
# In  --> calculated crc is 24 at time 3992489000.
5460
# In  --> received raw crc is 24 at time 3992489000.
5461
# In  --> received crc is ffdb at time 3992489000.
5462
# In  --> tmpCrc ffdb, at time 3992489000
5463
# In  --> sending ACK at time 3994410000
5464
# RecvBuffer[0]  = 00000000  : 0
5465
# RecvBuffer[1]  = 00000000  : 0
5466
# RecvBuffer[2]  = 00000000  : 0
5467
# RecvBuffer[3]  = 00000000  : 0
5468
# In  --> In task wait for response at time 4000962000
5469
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4001267000
5470
# In  --> Decoder enabled at time 4001967000 in host
5471
# In  --> StopTime = x, SE0StartTime = x
5472
# In  --> receive data = d2
5473
# In  --> StopTime = x, SE0StartTime = x
5474
# In  --> EOP asserted for 2 bit time at time 4002779000
5475
# CntrlTransType = 10
5476
# In  --> In task wait for response at time 4014654000
5477
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4014959000
5478
# In  --> Decoder enabled at time 4015659000 in host
5479
# In  --> StopTime = x, SE0StartTime = x
5480
# In  --> receive data = d2
5481
# In  --> StopTime = x, SE0StartTime = x
5482
# In  --> EOP asserted for 2 bit time at time 4016471000
5483
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5484
# CntrlTransType = 10
5485
# In  --> In task wait for response at time 4019610000
5486
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4019999000
5487
# In  --> Decoder enabled at time 4020702000 in host
5488
# In  --> receive data = 4b
5489
# In  --> receive data = 00
5490
# In  --> receive data = 00
5491
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  13
5492
# ... Read Data from UART done cnt :        38...
5493
# In  --> receive data = 00
5494
# In  --> receive data = 0d
5495
# In  --> receive data = 3e
5496
# In  --> StopTime = x, SE0StartTime = x
5497
# In  --> receive data = 1e
5498
# In  --> StopTime = x, SE0StartTime = x
5499
# In  --> EOP asserted for 2 bit time at time 4025501000
5500
# In  --> Data toggle recevied is 1001011 at time 4025585000
5501
# In  --> received byte[1] = 00000000
5502
# In  --> received byte[2] = 00000000
5503
# In  --> received byte[3] = 00000000
5504
# In  --> received byte[4] = 00001101
5505
# In  --> calculated crc is 8387 at time 4025585000.
5506
# In  --> received raw crc is 8387 at time 4025585000.
5507
# In  --> received crc is 3e1e at time 4025585000.
5508
# In  --> tmpCrc 3e1e, at time 4025585000
5509
# In  --> sending ACK at time 4027506000
5510
# RecvBuffer[0]  = 00000000  : 0
5511
# RecvBuffer[1]  = 00000000  : 0
5512
# RecvBuffer[2]  = 00000000  : 0
5513
# RecvBuffer[3]  = 00001101  : 13
5514
# In  --> In task wait for response at time 4034058000
5515
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4034363000
5516
# In  --> Decoder enabled at time 4035061000 in host
5517
# In  --> StopTime = x, SE0StartTime = x
5518
# In  --> receive data = d2
5519
# In  --> StopTime = x, SE0StartTime = x
5520
# In  --> EOP asserted for 2 bit time at time 4035854000
5521
# CntrlTransType = 11
5522
# In  --> In task wait for response at time 4047750000
5523
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4048034000
5524
# In  --> Decoder enabled at time 4048752000 in host
5525
# In  --> StopTime = x, SE0StartTime = x
5526
# In  --> receive data = d2
5527
# In  --> StopTime = x, SE0StartTime = x
5528
# In  --> EOP asserted for 2 bit time at time 4049546000
5529
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5530
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
5531
# In  --> DataToggle is 1
5532
# In  --> DataToggle is 4b at time 4052790000.
5533
# In  --> sending byte[1] = 00000000
5534
# In  --> sending byte[2] = 00000000
5535
# In  --> sending byte[3] = 00000000
5536
# In  --> sending byte[4] = 00001101
5537
# In  --> raw crc is 8387 at time             4052790
5538
# In  --> sent crc is 3e1e at time             4052790
5539
# In  --> In task wait for response at time 4058754000
5540
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4059038000
5541
# In  --> Decoder enabled at time 4059759000 in host
5542
# In  --> StopTime = x, SE0StartTime = x
5543
# In  --> receive data = d2
5544
# In  --> StopTime = x, SE0StartTime = x
5545
# In  --> EOP asserted for 2 bit time at time 4060550000
5546
# In  --> bits received are 7
5547
# In  --> ACK received at time 4060550000.
5548
# Input Address:01, EndPt:0
5549
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
5550
# In  CntrlTransType = 11, WRITE = 11
5551
# In  --> In task wait for response at time 4063794000
5552
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4064162000
5553
# In  --> Decoder enabled at time 4064883000 in host
5554
# In  --> receive data = 4b
5555
# In  --> receive data = 00
5556
# In  --> StopTime = x, SE0StartTime = x
5557
# In  --> receive data = 00
5558
# In  --> StopTime = x, SE0StartTime = x
5559
# In  --> EOP asserted for 2 bit time at time 4067018000
5560
#
5561
# ... Reading the UART Status: 0000000f ...
5562
# CntrlTransType = 10
5563
# In  --> In task wait for response at time 4080846000
5564
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4081130000
5565
# In  --> Decoder enabled at time 4081846000 in host
5566
# In  --> StopTime = x, SE0StartTime = x
5567
# In  --> receive data = d2
5568
# In  --> StopTime = x, SE0StartTime = x
5569
# In  --> EOP asserted for 2 bit time at time 4082642000
5570
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5571
# CntrlTransType = 10
5572
# In  --> In task wait for response at time 4085802000
5573
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4086170000
5574
# In  --> Decoder enabled at time 4086890000 in host
5575
# In  --> receive data = 4b
5576
# In  --> receive data = 00
5577
# In  --> receive data = 00
5578
# In  --> receive data = 00
5579
# In  --> receive data = 00
5580
# In  --> receive data = ff
5581
# In  --> StopTime = x, SE0StartTime = x
5582
# In  --> receive data = db
5583
# In  --> StopTime = x, SE0StartTime = x
5584
# In  --> EOP asserted for 2 bit time at time 4091777000
5585
# In  --> Data toggle recevied is 1001011 at time 4091861000
5586
# In  --> received byte[1] = 00000000
5587
# In  --> received byte[2] = 00000000
5588
# In  --> received byte[3] = 00000000
5589
# In  --> received byte[4] = 00000000
5590
# In  --> calculated crc is 24 at time 4091861000.
5591
# In  --> received raw crc is 24 at time 4091861000.
5592
# In  --> received crc is ffdb at time 4091861000.
5593
# In  --> tmpCrc ffdb, at time 4091861000
5594
# In  --> sending ACK at time 4093782000
5595
# RecvBuffer[0]  = 00000000  : 0
5596
# RecvBuffer[1]  = 00000000  : 0
5597
# RecvBuffer[2]  = 00000000  : 0
5598
# RecvBuffer[3]  = 00000000  : 0
5599
# In  --> In task wait for response at time 4100334000
5600
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4100639000
5601
# In  --> Decoder enabled at time 4101353000 in host
5602
# In  --> StopTime = x, SE0StartTime = x
5603
# In  --> receive data = d2
5604
# In  --> StopTime = x, SE0StartTime = x
5605
# In  --> EOP asserted for 2 bit time at time 4102151000
5606
# CntrlTransType = 10
5607
# In  --> In task wait for response at time 4114026000
5608
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4114331000
5609
# In  --> Decoder enabled at time 4115044000 in host
5610
# In  --> StopTime = x, SE0StartTime = x
5611
# In  --> receive data = d2
5612
# In  --> StopTime = x, SE0StartTime = x
5613
# In  --> EOP asserted for 2 bit time at time 4115843000
5614
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5615
# CntrlTransType = 10
5616
# In  --> In task wait for response at time 4118982000
5617
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4119371000
5618
# In  --> Decoder enabled at time 4120067000 in host
5619
# In  --> receive data = 4b
5620
# In  --> receive data = 00
5621
# In  --> receive data = 00
5622
# In  --> receive data = 00
5623
# In  --> receive data = 53
5624
# In  --> receive data = bf
5625
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  0d
5626
# ... Read Data from UART done cnt :        39...
5627
# In  --> StopTime = x, SE0StartTime = x
5628
# In  --> receive data = e6
5629
# In  --> StopTime = x, SE0StartTime = x
5630
# In  --> EOP asserted for 2 bit time at time 4124957000
5631
# In  --> Data toggle recevied is 1001011 at time 4125041000
5632
# In  --> received byte[1] = 00000000
5633
# In  --> received byte[2] = 00000000
5634
# In  --> received byte[3] = 00000000
5635
# In  --> received byte[4] = 01010011
5636
# In  --> calculated crc is 298 at time 4125041000.
5637
# In  --> received raw crc is 298 at time 4125041000.
5638
# In  --> received crc is bfe6 at time 4125041000.
5639
# In  --> tmpCrc bfe6, at time 4125041000
5640
# In  --> sending ACK at time 4126962000
5641
# RecvBuffer[0]  = 00000000  : 0
5642
# RecvBuffer[1]  = 00000000  : 0
5643
# RecvBuffer[2]  = 00000000  : 0
5644
# RecvBuffer[3]  = 01010011  : 83
5645
# In  --> In task wait for response at time 4133514000
5646
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4133819000
5647
# In  --> Decoder enabled at time 4134530000 in host
5648
# In  --> StopTime = x, SE0StartTime = x
5649
# In  --> receive data = d2
5650
# In  --> StopTime = x, SE0StartTime = x
5651
# In  --> EOP asserted for 2 bit time at time 4135331000
5652
# CntrlTransType = 11
5653
# In  --> In task wait for response at time 4147206000
5654
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4147511000
5655
# In  --> Decoder enabled at time 4148222000 in host
5656
# In  --> StopTime = x, SE0StartTime = x
5657
# In  --> receive data = d2
5658
# In  --> StopTime = x, SE0StartTime = x
5659
# In  --> EOP asserted for 2 bit time at time 4149023000
5660
# In  --> in_out_buf[0] = 11010010, in_out_buf_ptr =    1
5661
# In  host --> address = 01, EndPt = 0, crc5 = 1d, tmpReg = e801
5662
# In  --> DataToggle is 1
5663
# In  --> DataToggle is 4b at time 4152246000.
5664
# In  --> sending byte[1] = 00000000
5665
# In  --> sending byte[2] = 00000000
5666
# In  --> sending byte[3] = 00000000
5667
# In  --> sending byte[4] = 01010011
5668
# In  --> raw crc is 298 at time             4152246
5669
# In  --> sent crc is bfe6 at time             4152246
5670
# In  --> In task wait for response at time 4158294000
5671
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4158599000
5672
# In  --> Decoder enabled at time 4159309000 in host
5673
# In  --> StopTime = x, SE0StartTime = x
5674
# In  --> receive data = d2
5675
# In  --> StopTime = x, SE0StartTime = x
5676
# In  --> EOP asserted for 2 bit time at time 4160111000
5677
# In  --> bits received are 7
5678
# In  --> ACK received at time 4160111000.
5679
# Input Address:01, EndPt:0
5680
# i :          1, CntrlTransType:3; CntrlTransAddr:01;CntrlTransEndP:0
5681
# In  CntrlTransType = 11, WRITE = 11
5682
# In  --> In task wait for response at time 4163334000
5683
# In  --> DPLS = 0 , DeviceSpeed = 1 at time 4163639000
5684
# In  --> Decoder enabled at time 4164352000 in host
5685
# In  --> receive data = 4b
5686
# In  --> receive data = 00
5687
# In  --> StopTime = x, SE0StartTime = x
5688
# In  --> receive data = 00
5689
# In  --> StopTime = x, SE0StartTime = x
5690
# In  --> EOP asserted for 2 bit time at time 4166495000
5691
# (tb.u_uart_agent.read_char_chk.loop_2) Data match  53
5692
# ... Read Data from UART done cnt :        40...
5693
# -------------------- Reporting Configuration --------------------
5694
#       Data bit number setting is : 8
5695
#       Stop bit number setting is : 1
5696
#       Divisor of Uart clock   is : 15
5697
#       Parity is enable
5698
#       Even parity setting
5699
#       FIFO mode is disable
5700
# -----------------------------------------------------------------
5701
# -------------------- Reporting Status --------------------
5702
#
5703
#       Number of character received is :    40
5704
#       Number of character sent     is :    40
5705
#       Number of parity error rxd   is :     0
5706
#       Number of stop1  error rxd   is :     0
5707
#       Number of stop2  error rxd   is :     0
5708
#       Number of timeout error      is :     0
5709
#       Number of error              is :     0
5710
# -----------------------------------------------------------------
5711 8 dinesha
# ** Note: $finish    : ../tb/tb.v(247)
5712 3 dinesha
#    Time: 4225639240 ps  Iteration: 0  Instance: /tb

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.