OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [constraints/] [fpga-2.00.xdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
# !!! Constraint files are application specific !!!
2
# !!!          This is a template only          !!!
3
 
4
# on-board signals
5
 
6
# CLK
7
create_clock -name clk_in -period 38.462 [get_ports clk_in]
8
set_property PACKAGE_PIN T7 [get_ports clk_in]
9
set_property IOSTANDARD LVCMOS33 [get_ports clk_in]
10
 
11
 
12
set_property PACKAGE_PIN R11 [get_ports {SPI_CLK}]              ;# SPI_CLK
13
set_property IOSTANDARD LVCMOS33 [get_ports {SPI_CLK}]
14
 
15
set_property PACKAGE_PIN T10 [get_ports {SPI_DIN}]              ;# SPI_DIN
16
set_property IOSTANDARD LVCMOS33 [get_ports {SPI_DIN}]
17
 
18
set_property PACKAGE_PIN P10 [get_ports {SPI_DOUT}]             ;# SPI_DOUT
19
set_property IOSTANDARD LVCMOS33 [get_ports {SPI_DOUT}]
20
 
21
set_property PACKAGE_PIN T3 [get_ports {SPI_CS_N}]              ;# SPI_CS_N
22
set_property IOSTANDARD LVCMOS33 [get_ports {SPI_CS_N}]
23
 
24
set_property PACKAGE_PIN T5 [get_ports {SPI_CS1_N}]             ;# SPI_CS1_N
25
set_property IOSTANDARD LVCMOS33 [get_ports {SPI_CS1_N}]
26
 
27
set_property PACKAGE_PIN T9 [get_ports {SPI_CS2_N}]             ;# SPI_CS2_N
28
set_property IOSTANDARD LVCMOS33 [get_ports {SPI_CS2_N}]
29
 
30
 
31
set_property PACKAGE_PIN T4 [get_ports {MR_N}]                  ;# MR_N
32
set_property IOSTANDARD LVCMOS33 [get_ports {MR_N}]
33
 
34
 
35
 
36
# external I/O
37
 
38
set_property PACKAGE_PIN T12 [get_ports {IO_A[0]}]              ;# A3 / T12~IO_L52N_M1DQ15_1
39
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[0]}]
40
 
41
set_property PACKAGE_PIN T14 [get_ports {IO_A[1]}]              ;# A4 / T14~IO_L51P_M1DQ12_1
42
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[1]}]
43
 
44
set_property PACKAGE_PIN T15 [get_ports {IO_A[2]}]              ;# A5 / T15~IO_L50N_M1UDQSN_1
45
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[2]}]
46
 
47
set_property PACKAGE_PIN R16 [get_ports {IO_A[3]}]              ;# A6 / R16~IO_L49N_M1DQ11_1
48
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[3]}]
49
 
50
set_property PACKAGE_PIN P16 [get_ports {IO_A[4]}]              ;# A7 / P16~IO_L48N_M1DQ9_1
51
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[4]}]
52
 
53
set_property PACKAGE_PIN N16 [get_ports {IO_A[5]}]              ;# A8 / N16~IO_L45N_A0_M1LDQSN_1
54
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[5]}]
55
 
56
set_property PACKAGE_PIN M16 [get_ports {IO_A[6]}]              ;# A9 / M16~IO_L46N_FOE_B_M1DQ3_1
57
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[6]}]
58
 
59
set_property PACKAGE_PIN L13 [get_ports {IO_A[7]}]              ;# A10 / L13~IO_L53N_VREF_1
60
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[7]}]
61
 
62
set_property PACKAGE_PIN L16 [get_ports {IO_A[8]}]              ;# A11 / L16~IO_L47N_LDC_M1DQ1_1
63
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[8]}]
64
 
65
set_property PACKAGE_PIN M13 [get_ports {IO_A[9]}]              ;# A12 / M13~IO_L74P_AWAKE_1
66
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[9]}]
67
 
68
set_property PACKAGE_PIN K16 [get_ports {IO_A[10]}]             ;# A13 / K16~IO_L44N_A2_M1DQ7_1
69
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[10]}]
70
 
71
set_property PACKAGE_PIN K14 [get_ports {IO_A[11]}]             ;# A14 / K14~IO_L41N_GCLK8_M1CASN_1
72
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[11]}]
73
 
74
set_property PACKAGE_PIN J16 [get_ports {IO_A[12]}]             ;# A18 / J16~IO_L43N_GCLK4_M1DQ5_1
75
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[12]}]
76
 
77
set_property PACKAGE_PIN H16 [get_ports {IO_A[13]}]             ;# A19 / H16~IO_L37N_A6_M1A1_1
78
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[13]}]
79
 
80
set_property PACKAGE_PIN J12 [get_ports {IO_A[14]}]             ;# A20 / J12~IO_L40N_GCLK10_M1A6_1
81
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[14]}]
82
 
83
set_property PACKAGE_PIN H14 [get_ports {IO_A[15]}]             ;# A21 / H14~IO_L39N_M1ODT_1
84
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[15]}]
85
 
86
set_property PACKAGE_PIN G16 [get_ports {IO_A[16]}]             ;# A22 / G16~IO_L36N_A8_M1BA1_1
87
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[16]}]
88
 
89
set_property PACKAGE_PIN F12 [get_ports {IO_A[17]}]             ;# A23 / F12~IO_L30P_A21_M1RESET_1
90
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[17]}]
91
 
92
set_property PACKAGE_PIN F16 [get_ports {IO_A[18]}]             ;# A24 / F16~IO_L35N_A10_M1A2_1
93
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[18]}]
94
 
95
set_property PACKAGE_PIN F14 [get_ports {IO_A[19]}]             ;# A25 / F14~IO_L32N_A16_M1A9_1
96
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[19]}]
97
 
98
set_property PACKAGE_PIN E16 [get_ports {IO_A[20]}]             ;# A26 / E16~IO_L34N_A12_M1BA2_1
99
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[20]}]
100
 
101
set_property PACKAGE_PIN E13 [get_ports {IO_A[21]}]             ;# A27 / E13~IO_L1P_A25_1
102
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[21]}]
103
 
104
set_property PACKAGE_PIN D16 [get_ports {IO_A[22]}]             ;# A28 / D16~IO_L31N_A18_M1A12_1
105
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[22]}]
106
 
107
set_property PACKAGE_PIN C16 [get_ports {IO_A[23]}]             ;# A29 / C16~IO_L33N_A14_M1A4_1
108
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[23]}]
109
 
110
set_property PACKAGE_PIN B16 [get_ports {IO_A[24]}]             ;# A30 / B16~IO_L29N_A22_M1A14_1
111
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[24]}]
112
 
113
 
114
set_property PACKAGE_PIN R12 [get_ports {IO_B[0]}]              ;# B3 / R12~IO_L52P_M1DQ14_1
115
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[0]}]
116
 
117
set_property PACKAGE_PIN T13 [get_ports {IO_B[1]}]              ;# B4 / T13~IO_L51N_M1DQ13_1
118
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[1]}]
119
 
120
set_property PACKAGE_PIN R14 [get_ports {IO_B[2]}]              ;# B5 / R14~IO_L50P_M1UDQS_1
121
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[2]}]
122
 
123
set_property PACKAGE_PIN R15 [get_ports {IO_B[3]}]              ;# B6 / R15~IO_L49P_M1DQ10_1
124
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[3]}]
125
 
126
set_property PACKAGE_PIN P15 [get_ports {IO_B[4]}]              ;# B7 / P15~IO_L48P_HDC_M1DQ8_1
127
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[4]}]
128
 
129
set_property PACKAGE_PIN N14 [get_ports {IO_B[5]}]              ;# B8 / N14~IO_L45P_A1_M1LDQS_1
130
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[5]}]
131
 
132
set_property PACKAGE_PIN M15 [get_ports {IO_B[6]}]              ;# B9 / M15~IO_L46P_FCS_B_M1DQ2_1
133
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[6]}]
134
 
135
set_property PACKAGE_PIN L12 [get_ports {IO_B[7]}]              ;# B10 / L12~IO_L53P_1
136
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[7]}]
137
 
138
set_property PACKAGE_PIN L14 [get_ports {IO_B[8]}]              ;# B11 / L14~IO_L47P_FWE_B_M1DQ0_1
139
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[8]}]
140
 
141
set_property PACKAGE_PIN K12 [get_ports {IO_B[9]}]              ;# B12 / K12~IO_L42P_GCLK7_M1UDM_1
142
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[9]}]
143
 
144
set_property PACKAGE_PIN K15 [get_ports {IO_B[10]}]             ;# B13 / K15~IO_L44P_A3_M1DQ6_1
145
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[10]}]
146
 
147
set_property PACKAGE_PIN J13 [get_ports {IO_B[11]}]             ;# B14 / J13~IO_L41P_GCLK9_IRDY1_M1RASN_1
148
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[11]}]
149
 
150
set_property PACKAGE_PIN J14 [get_ports {IO_B[12]}]             ;# B18 / J14~IO_L43P_GCLK5_M1DQ4_1
151
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[12]}]
152
 
153
set_property PACKAGE_PIN H15 [get_ports {IO_B[13]}]             ;# B19 / H15~IO_L37P_A7_M1A0_1
154
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[13]}]
155
 
156
set_property PACKAGE_PIN G12 [get_ports {IO_B[14]}]             ;# B20 / G12~IO_L38P_A5_M1CLK_1
157
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[14]}]
158
 
159
set_property PACKAGE_PIN H13 [get_ports {IO_B[15]}]             ;# B21 / H13~IO_L39P_M1A3_1
160
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[15]}]
161
 
162
set_property PACKAGE_PIN G14 [get_ports {IO_B[16]}]             ;# B22 / G14~IO_L36P_A9_M1BA0_1
163
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[16]}]
164
 
165
set_property PACKAGE_PIN G11 [get_ports {IO_B[17]}]             ;# B23 / G11~IO_L30N_A20_M1A11_1
166
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[17]}]
167
 
168
set_property PACKAGE_PIN F15 [get_ports {IO_B[18]}]             ;# B24 / F15~IO_L35P_A11_M1A7_1
169
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[18]}]
170
 
171
set_property PACKAGE_PIN F13 [get_ports {IO_B[19]}]             ;# B25 / F13~IO_L32P_A17_M1A8_1
172
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[19]}]
173
 
174
set_property PACKAGE_PIN E15 [get_ports {IO_B[20]}]             ;# B26 / E15~IO_L34P_A13_M1WE_1
175
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[20]}]
176
 
177
set_property PACKAGE_PIN E12 [get_ports {IO_B[21]}]             ;# B27 / E12~IO_L1N_A24_VREF_1
178
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[21]}]
179
 
180
set_property PACKAGE_PIN D14 [get_ports {IO_B[22]}]             ;# B28 / D14~IO_L31P_A19_M1CKE_1
181
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[22]}]
182
 
183
set_property PACKAGE_PIN C15 [get_ports {IO_B[23]}]             ;# B29 / C15~IO_L33P_A15_M1A10_1
184
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[23]}]
185
 
186
set_property PACKAGE_PIN B15 [get_ports {IO_B[24]}]             ;# B30 / B15~IO_L29P_A23_M1A13_1
187
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[24]}]
188
 
189
 
190
set_property PACKAGE_PIN R2 [get_ports {IO_C[0]}]               ;# C3 / R2~IO_L32P_M3DQ14_3
191
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[0]}]
192
 
193
set_property PACKAGE_PIN P2 [get_ports {IO_C[1]}]               ;# C4 / P2~IO_L33P_M3DQ12_3
194
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[1]}]
195
 
196
set_property PACKAGE_PIN N3 [get_ports {IO_C[2]}]               ;# C5 / N3~IO_L34P_M3UDQS_3
197
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[2]}]
198
 
199
set_property PACKAGE_PIN M5 [get_ports {IO_C[3]}]               ;# C6 / M5~IO_L2P_3
200
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[3]}]
201
 
202
set_property PACKAGE_PIN M4 [get_ports {IO_C[4]}]               ;# C7 / M4~IO_L1P_3
203
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[4]}]
204
 
205
set_property PACKAGE_PIN M2 [get_ports {IO_C[5]}]               ;# C8 / M2~IO_L35P_M3DQ10_3
206
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[5]}]
207
 
208
set_property PACKAGE_PIN L5 [get_ports {IO_C[6]}]               ;# C9 / L5~IO_L45N_M3ODT_3
209
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[6]}]
210
 
211
set_property PACKAGE_PIN L3 [get_ports {IO_C[7]}]               ;# C10 / L3~IO_L36P_M3DQ8_3
212
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[7]}]
213
 
214
set_property PACKAGE_PIN K2 [get_ports {IO_C[8]}]               ;# C11 / K2~IO_L37P_M3DQ0_3
215
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[8]}]
216
 
217
set_property PACKAGE_PIN J4 [get_ports {IO_C[9]}]               ;# C12 / J4~IO_L42N_GCLK24_M3LDM_3
218
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[9]}]
219
 
220
set_property PACKAGE_PIN J3 [get_ports {IO_C[10]}]              ;# C13 / J3~IO_L38P_M3DQ2_3
221
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[10]}]
222
 
223
set_property PACKAGE_PIN H5 [get_ports {IO_C[11]}]              ;# C14 / H5~IO_L43N_GCLK22_IRDY2_M3CASN_3
224
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[11]}]
225
 
226
set_property PACKAGE_PIN H4 [get_ports {IO_C[12]}]              ;# C15 / H4~IO_L44P_GCLK21_M3A5_3
227
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[12]}]
228
 
229
set_property PACKAGE_PIN H2 [get_ports {IO_C[13]}]              ;# C19 / H2~IO_L39P_M3LDQS_3
230
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[13]}]
231
 
232
set_property PACKAGE_PIN G3 [get_ports {IO_C[14]}]              ;# C20 / G3~IO_L40P_M3DQ6_3
233
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[14]}]
234
 
235
set_property PACKAGE_PIN F5 [get_ports {IO_C[15]}]              ;# C21 / F5~IO_L55N_M3A14_3
236
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[15]}]
237
 
238
set_property PACKAGE_PIN F2 [get_ports {IO_C[16]}]              ;# C22 / F2~IO_L41P_GCLK27_M3DQ4_3
239
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[16]}]
240
 
241
set_property PACKAGE_PIN F4 [get_ports {IO_C[17]}]              ;# C23 / F4~IO_L53P_M3CKE_3
242
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[17]}]
243
 
244
set_property PACKAGE_PIN E2 [get_ports {IO_C[18]}]              ;# C24 / E2~IO_L46P_M3CLK_3
245
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[18]}]
246
 
247
set_property PACKAGE_PIN E4 [get_ports {IO_C[19]}]              ;# C25 / E4~IO_L54P_M3RESET_3
248
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[19]}]
249
 
250
set_property PACKAGE_PIN D3 [get_ports {IO_C[20]}]              ;# C26 / D3~IO_L49P_M3A7_3
251
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[20]}]
252
 
253
set_property PACKAGE_PIN C3 [get_ports {IO_C[21]}]              ;# C27 / C3~IO_L48P_M3BA0_3
254
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[21]}]
255
 
256
set_property PACKAGE_PIN C1 [get_ports {IO_C[22]}]              ;# C28 / C1~IO_L50P_M3WE_3
257
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[22]}]
258
 
259
set_property PACKAGE_PIN B3 [get_ports {IO_C[23]}]              ;# C29 / B3~IO_L83P_3
260
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[23]}]
261
 
262
set_property PACKAGE_PIN A3 [get_ports {IO_C[24]}]              ;# C30 / A3~IO_L83N_VREF_3
263
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[24]}]
264
 
265
 
266
set_property PACKAGE_PIN R1 [get_ports {IO_D[0]}]               ;# D3 / R1~IO_L32N_M3DQ15_3
267
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[0]}]
268
 
269
set_property PACKAGE_PIN P1 [get_ports {IO_D[1]}]               ;# D4 / P1~IO_L33N_M3DQ13_3
270
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[1]}]
271
 
272
set_property PACKAGE_PIN N1 [get_ports {IO_D[2]}]               ;# D5 / N1~IO_L34N_M3UDQSN_3
273
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[2]}]
274
 
275
set_property PACKAGE_PIN N4 [get_ports {IO_D[3]}]               ;# D6 / N4~IO_L2N_3
276
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[3]}]
277
 
278
set_property PACKAGE_PIN M3 [get_ports {IO_D[4]}]               ;# D7 / M3~IO_L1N_VREF_3
279
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[4]}]
280
 
281
set_property PACKAGE_PIN M1 [get_ports {IO_D[5]}]               ;# D8 / M1~IO_L35N_M3DQ11_3
282
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[5]}]
283
 
284
set_property PACKAGE_PIN L4 [get_ports {IO_D[6]}]               ;# D9 / L4~IO_L45P_M3A3_3
285
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[6]}]
286
 
287
set_property PACKAGE_PIN L1 [get_ports {IO_D[7]}]               ;# D10 / L1~IO_L36N_M3DQ9_3
288
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[7]}]
289
 
290
set_property PACKAGE_PIN K1 [get_ports {IO_D[8]}]               ;# D11 / K1~IO_L37N_M3DQ1_3
291
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[8]}]
292
 
293
set_property PACKAGE_PIN K3 [get_ports {IO_D[9]}]               ;# D12 / K3~IO_L42P_GCLK25_TRDY2_M3UDM_3
294
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[9]}]
295
 
296
set_property PACKAGE_PIN J1 [get_ports {IO_D[10]}]              ;# D13 / J1~IO_L38N_M3DQ3_3
297
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[10]}]
298
 
299
set_property PACKAGE_PIN K5 [get_ports {IO_D[11]}]              ;# D14 / K5~IO_L47P_M3A0_3
300
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[11]}]
301
 
302
set_property PACKAGE_PIN H3 [get_ports {IO_D[12]}]              ;# D15 / H3~IO_L44N_GCLK20_M3A6_3
303
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[12]}]
304
 
305
set_property PACKAGE_PIN H1 [get_ports {IO_D[13]}]              ;# D19 / H1~IO_L39N_M3LDQSN_3
306
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[13]}]
307
 
308
set_property PACKAGE_PIN G1 [get_ports {IO_D[14]}]              ;# D20 / G1~IO_L40N_M3DQ7_3
309
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[14]}]
310
 
311
set_property PACKAGE_PIN G5 [get_ports {IO_D[15]}]              ;# D21 / G5~IO_L51N_M3A4_3
312
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[15]}]
313
 
314
set_property PACKAGE_PIN F1 [get_ports {IO_D[16]}]              ;# D22 / F1~IO_L41N_GCLK26_M3DQ5_3
315
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[16]}]
316
 
317
set_property PACKAGE_PIN F3 [get_ports {IO_D[17]}]              ;# D23 / F3~IO_L53N_M3A12_3
318
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[17]}]
319
 
320
set_property PACKAGE_PIN E1 [get_ports {IO_D[18]}]              ;# D24 / E1~IO_L46N_M3CLKN_3
321
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[18]}]
322
 
323
set_property PACKAGE_PIN E3 [get_ports {IO_D[19]}]              ;# D25 / E3~IO_L54N_M3A11_3
324
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[19]}]
325
 
326
set_property PACKAGE_PIN D1 [get_ports {IO_D[20]}]              ;# D26 / D1~IO_L49N_M3A2_3
327
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[20]}]
328
 
329
set_property PACKAGE_PIN C2 [get_ports {IO_D[21]}]              ;# D27 / C2~IO_L48N_M3BA1_3
330
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[21]}]
331
 
332
set_property PACKAGE_PIN B1 [get_ports {IO_D[22]}]              ;# D28 / B1~IO_L50N_M3BA2_3
333
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[22]}]
334
 
335
set_property PACKAGE_PIN B2 [get_ports {IO_D[23]}]              ;# D29 / B2~IO_L52P_M3A8_3
336
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[23]}]
337
 
338
set_property PACKAGE_PIN A2 [get_ports {IO_D[24]}]              ;# D30 / A2~IO_L52N_M3A9_3
339
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[24]}]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.