OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [constraints/] [usb-fpga-1.11.xdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
# !!! Constraint files are application specific !!!
2
# !!!          This is a template only          !!!
3
 
4
# on-board signals
5
 
6
# CLKOUT/FXCLK
7
create_clock -name fxclk_in -period 20.833 [get_ports fxclk_in]
8
set_property PACKAGE_PIN K14 [get_ports fxclk_in]
9
set_property IOSTANDARD LVCMOS33 [get_ports fxclk_in]
10
 
11
# IFCLK
12
create_clock -name ifclk_in -period 20.833 [get_ports ifclk_in]
13
set_property PACKAGE_PIN J14 [get_ports ifclk_in]
14
set_property IOSTANDARD LVCMOS33 [get_ports ifclk_in]
15
 
16
 
17
set_property PACKAGE_PIN R3 [get_ports {PA[0]}]                 ;# PA0/INT0#
18
set_property IOSTANDARD LVCMOS33 [get_ports {PA[0]}]
19
 
20
set_property PACKAGE_PIN T3 [get_ports {PA[2]}]                 ;# PA2/SLOE
21
set_property IOSTANDARD LVCMOS33 [get_ports {PA[2]}]
22
 
23
set_property PACKAGE_PIN R11 [get_ports {PA[3]}]                ;# PA3/WU2
24
set_property IOSTANDARD LVCMOS33 [get_ports {PA[3]}]
25
 
26
set_property PACKAGE_PIN T5 [get_ports {PA[4]}]                 ;# PA4/FIFOADR0
27
set_property IOSTANDARD LVCMOS33 [get_ports {PA[4]}]
28
 
29
set_property PACKAGE_PIN N11 [get_ports {PA[5]}]                ;# PA5/FIFOADR1
30
set_property IOSTANDARD LVCMOS33 [get_ports {PA[5]}]
31
 
32
set_property PACKAGE_PIN T11 [get_ports {PA[6]}]                ;# PA6/PKTEND
33
set_property IOSTANDARD LVCMOS33 [get_ports {PA[6]}]
34
 
35
set_property PACKAGE_PIN T10 [get_ports {PA[7]}]                ;# PA7/FLAGD/SLCS#
36
set_property IOSTANDARD LVCMOS33 [get_ports {PA[7]}]
37
 
38
 
39
set_property PACKAGE_PIN C16 [get_ports {PB[0]}]                ;# PB0/FD0
40
set_property IOSTANDARD LVCMOS33 [get_ports {PB[0]}]
41
 
42
set_property PACKAGE_PIN C15 [get_ports {PB[1]}]                ;# PB1/FD1
43
set_property IOSTANDARD LVCMOS33 [get_ports {PB[1]}]
44
 
45
set_property PACKAGE_PIN D16 [get_ports {PB[2]}]                ;# PB2/FD2
46
set_property IOSTANDARD LVCMOS33 [get_ports {PB[2]}]
47
 
48
set_property PACKAGE_PIN D14 [get_ports {PB[3]}]                ;# PB3/FD3
49
set_property IOSTANDARD LVCMOS33 [get_ports {PB[3]}]
50
 
51
set_property PACKAGE_PIN E13 [get_ports {PB[4]}]                ;# PB4/FD4
52
set_property IOSTANDARD LVCMOS33 [get_ports {PB[4]}]
53
 
54
set_property PACKAGE_PIN E12 [get_ports {PB[5]}]                ;# PB5/FD5
55
set_property IOSTANDARD LVCMOS33 [get_ports {PB[5]}]
56
 
57
set_property PACKAGE_PIN F16 [get_ports {PB[6]}]                ;# PB6/FD6
58
set_property IOSTANDARD LVCMOS33 [get_ports {PB[6]}]
59
 
60
set_property PACKAGE_PIN F15 [get_ports {PB[7]}]                ;# PB7/FD7
61
set_property IOSTANDARD LVCMOS33 [get_ports {PB[7]}]
62
 
63
 
64
set_property PACKAGE_PIN G12 [get_ports {PC[0]}]                ;# PC0/GPIFADR0
65
set_property IOSTANDARD LVCMOS33 [get_ports {PC[0]}]
66
 
67
set_property PACKAGE_PIN G11 [get_ports {PC[1]}]                ;# PC1/GPIFADR1
68
set_property IOSTANDARD LVCMOS33 [get_ports {PC[1]}]
69
 
70
set_property PACKAGE_PIN H15 [get_ports {PC[2]}]                ;# PC2/GPIFADR2
71
set_property IOSTANDARD LVCMOS33 [get_ports {PC[2]}]
72
 
73
set_property PACKAGE_PIN M14 [get_ports {PC[3]}]                ;# PC3/GPIFADR3
74
set_property IOSTANDARD LVCMOS33 [get_ports {PC[3]}]
75
 
76
set_property PACKAGE_PIN P11 [get_ports {PC[4]}]                ;# PC4/GPIFADR4
77
set_property IOSTANDARD LVCMOS33 [get_ports {PC[4]}]
78
 
79
set_property PACKAGE_PIN H14 [get_ports {PC[5]}]                ;# PC5/GPIFADR5
80
set_property IOSTANDARD LVCMOS33 [get_ports {PC[5]}]
81
 
82
set_property PACKAGE_PIN H11 [get_ports {PC[6]}]                ;# PC6/GPIFADR6
83
set_property IOSTANDARD LVCMOS33 [get_ports {PC[6]}]
84
 
85
set_property PACKAGE_PIN H13 [get_ports {PC[7]}]                ;# PC7/GPIFADR7
86
set_property IOSTANDARD LVCMOS33 [get_ports {PC[7]}]
87
 
88
 
89
set_property PACKAGE_PIN P10 [get_ports {PD[0]}]                ;# PD0/FD8
90
set_property IOSTANDARD LVCMOS33 [get_ports {PD[0]}]
91
 
92
set_property PACKAGE_PIN N12 [get_ports {PD[1]}]                ;# PD1/FD9
93
set_property IOSTANDARD LVCMOS33 [get_ports {PD[1]}]
94
 
95
set_property PACKAGE_PIN P12 [get_ports {PD[2]}]                ;# PD2/FD10
96
set_property IOSTANDARD LVCMOS33 [get_ports {PD[2]}]
97
 
98
set_property PACKAGE_PIN N5 [get_ports {PD[3]}]                 ;# PD3/FD11
99
set_property IOSTANDARD LVCMOS33 [get_ports {PD[3]}]
100
 
101
set_property PACKAGE_PIN P5 [get_ports {PD[4]}]                 ;# PD4/FD12
102
set_property IOSTANDARD LVCMOS33 [get_ports {PD[4]}]
103
 
104
set_property PACKAGE_PIN L8 [get_ports {PD[5]}]                 ;# PD5/FD13
105
set_property IOSTANDARD LVCMOS33 [get_ports {PD[5]}]
106
 
107
set_property PACKAGE_PIN L7 [get_ports {PD[6]}]                 ;# PD6/FD14
108
set_property IOSTANDARD LVCMOS33 [get_ports {PD[6]}]
109
 
110
set_property PACKAGE_PIN R5 [get_ports {PD[7]}]                 ;# PD7/FD15
111
set_property IOSTANDARD LVCMOS33 [get_ports {PD[7]}]
112
 
113
 
114
set_property PACKAGE_PIN K11 [get_ports {SLRD}]                 ;# RDY0/SLRD
115
set_property IOSTANDARD LVCMOS33 [get_ports {SLRD}]
116
 
117
set_property PACKAGE_PIN J11 [get_ports {SLWR}]                 ;# RDY1/SLWR
118
set_property IOSTANDARD LVCMOS33 [get_ports {SLWR}]
119
 
120
set_property PACKAGE_PIN J12 [get_ports {RDY2}]                 ;# RDY2
121
set_property IOSTANDARD LVCMOS33 [get_ports {RDY2}]
122
 
123
set_property PACKAGE_PIN J13 [get_ports {RDY3}]                 ;# RDY3
124
set_property IOSTANDARD LVCMOS33 [get_ports {RDY3}]
125
 
126
set_property PACKAGE_PIN J16 [get_ports {RDY4}]                 ;# RDY4
127
set_property IOSTANDARD LVCMOS33 [get_ports {RDY4}]
128
 
129
set_property PACKAGE_PIN H16 [get_ports {RDY5}]                 ;# RDY5
130
set_property IOSTANDARD LVCMOS33 [get_ports {RDY5}]
131
 
132
 
133
set_property PACKAGE_PIN F12 [get_ports {FLAGA}]                ;# CTL0/FLAGA
134
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGA}]
135
 
136
set_property PACKAGE_PIN G16 [get_ports {FLAGB}]                ;# CTL1/FLAGB
137
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGB}]
138
 
139
set_property PACKAGE_PIN G14 [get_ports {FLAGC}]                ;# CTL2/FLAGC
140
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGC}]
141
 
142
set_property PACKAGE_PIN F14 [get_ports {CTL3}]                 ;# CTL3
143
set_property IOSTANDARD LVCMOS33 [get_ports {CTL3}]
144
 
145
set_property PACKAGE_PIN F13 [get_ports {CTL4}]                 ;# CTL4
146
set_property IOSTANDARD LVCMOS33 [get_ports {CTL4}]
147
 
148
set_property PACKAGE_PIN K12 [get_ports {CTL5}]                 ;# CTL5
149
set_property IOSTANDARD LVCMOS33 [get_ports {CTL5}]
150
 
151
 
152
set_property PACKAGE_PIN E16 [get_ports {TxD1}]                 ;# TxD1
153
set_property IOSTANDARD LVCMOS33 [get_ports {TxD1}]
154
 
155
set_property PACKAGE_PIN E15 [get_ports {RxD1}]                 ;# RxD1
156
set_property IOSTANDARD LVCMOS33 [get_ports {RxD1}]
157
 
158
 
159
set_property PACKAGE_PIN T14 [get_ports {SD_DAT1}]              ;# SD_DAT1
160
set_property IOSTANDARD LVCMOS33 [get_ports {SD_DAT1}]
161
 
162
set_property PACKAGE_PIN T13 [get_ports {SD_DAT2}]              ;# SD_DAT2
163
set_property IOSTANDARD LVCMOS33 [get_ports {SD_DAT2}]
164
 
165
 
166
 
167
# external I/O
168
 
169
set_property PACKAGE_PIN B15 [get_ports {IO_A[0]}]              ;# A9 / B15~IO_L29P_A23_M1A13_1
170
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[0]}]
171
 
172
set_property PACKAGE_PIN A14 [get_ports {IO_A[1]}]              ;# A12 / A14~IO_L65N_SCP2_0
173
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[1]}]
174
 
175
set_property PACKAGE_PIN C13 [get_ports {IO_A[2]}]              ;# A13 / C13~IO_L63P_SCP7_0
176
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[2]}]
177
 
178
set_property PACKAGE_PIN D12 [get_ports {IO_A[3]}]              ;# A14 / D12~IO_L66N_SCP0_0
179
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[3]}]
180
 
181
set_property PACKAGE_PIN E11 [get_ports {IO_A[4]}]              ;# A15 / E11~IO_L64N_SCP4_0
182
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[4]}]
183
 
184
set_property PACKAGE_PIN C10 [get_ports {IO_A[5]}]              ;# A17 / C10~IO_L37N_GCLK12_0
185
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[5]}]
186
 
187
set_property PACKAGE_PIN B12 [get_ports {IO_A[6]}]              ;# A18 / B12~IO_L62P_0
188
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[6]}]
189
 
190
set_property PACKAGE_PIN A9 [get_ports {IO_A[7]}]               ;# A19 / A9~IO_L34N_GCLK18_0
191
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[7]}]
192
 
193
set_property PACKAGE_PIN C8 [get_ports {IO_A[8]}]               ;# A20 / C8~IO_L38N_VREF_0
194
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[8]}]
195
 
196
set_property PACKAGE_PIN A7 [get_ports {IO_A[9]}]               ;# A24 / A7~IO_L6N_0
197
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[9]}]
198
 
199
set_property PACKAGE_PIN E6 [get_ports {IO_A[10]}]              ;# A25 / E6~IO_L5N_0
200
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[10]}]
201
 
202
set_property PACKAGE_PIN C6 [get_ports {IO_A[11]}]              ;# A26 / C6~IO_L7N_0
203
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[11]}]
204
 
205
set_property PACKAGE_PIN A6 [get_ports {IO_A[12]}]              ;# A27 / A6~IO_L4N_0
206
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[12]}]
207
 
208
set_property PACKAGE_PIN C5 [get_ports {IO_A[13]}]              ;# A28 / C5~IO_L3N_0
209
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[13]}]
210
 
211
set_property PACKAGE_PIN A5 [get_ports {IO_A[14]}]              ;# A29 / A5~IO_L2N_0
212
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[14]}]
213
 
214
set_property PACKAGE_PIN A4 [get_ports {IO_A[15]}]              ;# A30 / A4~IO_L1N_VREF_0
215
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[15]}]
216
 
217
 
218
set_property PACKAGE_PIN B16 [get_ports {IO_B[0]}]              ;# B9 / B16~IO_L29N_A22_M1A14_1
219
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[0]}]
220
 
221
set_property PACKAGE_PIN B14 [get_ports {IO_B[1]}]              ;# B12 / B14~IO_L65P_SCP3_0
222
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[1]}]
223
 
224
set_property PACKAGE_PIN D11 [get_ports {IO_B[2]}]              ;# B14 / D11~IO_L66P_SCP1_0
225
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[2]}]
226
 
227
set_property PACKAGE_PIN F10 [get_ports {IO_B[3]}]              ;# B15 / F10~IO_L64P_SCP5_0
228
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[3]}]
229
 
230
set_property PACKAGE_PIN E10 [get_ports {IO_B[4]}]              ;# B17 / E10~IO_L37P_GCLK13_0
231
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[4]}]
232
 
233
set_property PACKAGE_PIN A12 [get_ports {IO_B[5]}]              ;# B18 / A12~IO_L62N_VREF_0
234
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[5]}]
235
 
236
set_property PACKAGE_PIN C9 [get_ports {IO_B[6]}]               ;# B19 / C9~IO_L34P_GCLK19_0
237
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[6]}]
238
 
239
set_property PACKAGE_PIN D8 [get_ports {IO_B[7]}]               ;# B20 / D8~IO_L38P_0
240
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[7]}]
241
 
242
set_property PACKAGE_PIN C7 [get_ports {IO_B[8]}]               ;# B24 / C7~IO_L6P_0
243
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[8]}]
244
 
245
set_property PACKAGE_PIN F7 [get_ports {IO_B[9]}]               ;# B25 / F7~IO_L5P_0
246
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[9]}]
247
 
248
set_property PACKAGE_PIN D6 [get_ports {IO_B[10]}]              ;# B26 / D6~IO_L7P_0
249
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[10]}]
250
 
251
set_property PACKAGE_PIN B6 [get_ports {IO_B[11]}]              ;# B27 / B6~IO_L4P_0
252
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[11]}]
253
 
254
set_property PACKAGE_PIN D5 [get_ports {IO_B[12]}]              ;# B28 / D5~IO_L3P_0
255
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[12]}]
256
 
257
set_property PACKAGE_PIN B5 [get_ports {IO_B[13]}]              ;# B29 / B5~IO_L2P_0
258
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[13]}]
259
 
260
set_property PACKAGE_PIN C4 [get_ports {IO_B[14]}]              ;# B30 / C4~IO_L1P_HSWAPEN_0
261
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[14]}]
262
 
263
 
264
set_property PACKAGE_PIN R16 [get_ports {IO_C[0]}]              ;# C3 / R16~IO_L49N_M1DQ11_1
265
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[0]}]
266
 
267
set_property PACKAGE_PIN P8 [get_ports {IO_C[1]}]               ;# C20 / P8~IO_L30P_GCLK1_D13_2
268
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[1]}]
269
 
270
set_property PACKAGE_PIN M9 [get_ports {IO_C[2]}]               ;# C21 / M9~IO_L29P_GCLK3_2
271
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[2]}]
272
 
273
set_property PACKAGE_PIN T7 [get_ports {IO_C[3]}]               ;# C22 / T7~IO_L32N_GCLK28_2
274
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[3]}]
275
 
276
set_property PACKAGE_PIN M7 [get_ports {IO_C[4]}]               ;# C23 / M7~IO_L31N_GCLK30_D15_2
277
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[4]}]
278
 
279
set_property PACKAGE_PIN N6 [get_ports {IO_C[5]}]               ;# C24 / N6~IO_L64N_D9_2
280
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[5]}]
281
 
282
set_property PACKAGE_PIN M6 [get_ports {IO_C[6]}]               ;# C25 / M6~IO_L64P_D8_2
283
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[6]}]
284
 
285
 
286
set_property PACKAGE_PIN K16 [get_ports {IO_D[0]}]              ;# D8 / K16~IO_L44N_A2_M1DQ7_1
287
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[0]}]
288
 
289
set_property PACKAGE_PIN L16 [get_ports {IO_D[1]}]              ;# D9 / L16~IO_L47N_LDC_M1DQ1_1
290
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[1]}]
291
 
292
set_property PACKAGE_PIN M16 [get_ports {IO_D[2]}]              ;# D10 / M16~IO_L46N_FOE_B_M1DQ3_1
293
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[2]}]
294
 
295
set_property PACKAGE_PIN P16 [get_ports {IO_D[3]}]              ;# D11 / P16~IO_L48N_M1DQ9_1
296
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[3]}]
297
 
298
set_property PACKAGE_PIN P15 [get_ports {IO_D[4]}]              ;# D12 / P15~IO_L48P_HDC_M1DQ8_1
299
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[4]}]
300
 
301
set_property PACKAGE_PIN T15 [get_ports {IO_D[5]}]              ;# D13 / T15~IO_L50N_M1UDQSN_1
302
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[5]}]
303
 
304
set_property PACKAGE_PIN K15 [get_ports {IO_D[6]}]              ;# D14 / K15~IO_L44P_A3_M1DQ6_1
305
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[6]}]
306
 
307
set_property PACKAGE_PIN R14 [get_ports {IO_D[7]}]              ;# D15 / R14~IO_L50P_M1UDQS_1
308
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[7]}]
309
 
310
set_property PACKAGE_PIN M13 [get_ports {IO_D[8]}]              ;# D16 / M13~IO_L74P_AWAKE_1
311
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[8]}]
312
 
313
set_property PACKAGE_PIN M12 [get_ports {IO_D[9]}]              ;# D17 / M12~IO_L2P_CMPCLK_2
314
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[9]}]
315
 
316
set_property PACKAGE_PIN T12 [get_ports {IO_D[10]}]             ;# D19 / T12~IO_L52N_M1DQ15_1
317
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[10]}]
318
 
319
set_property PACKAGE_PIN R9 [get_ports {IO_D[11]}]              ;# D20 / R9~IO_L23P_2
320
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[11]}]
321
 
322
set_property PACKAGE_PIN N9 [get_ports {IO_D[12]}]              ;# D21 / N9~IO_L14P_D11_2
323
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[12]}]
324
 
325
set_property PACKAGE_PIN N8 [get_ports {IO_D[13]}]              ;# D22 / N8~IO_L29N_GCLK2_2
326
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[13]}]
327
 
328
set_property PACKAGE_PIN P7 [get_ports {IO_D[14]}]              ;# D23 / P7~IO_L31P_GCLK31_D14_2
329
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[14]}]
330
 
331
set_property PACKAGE_PIN P6 [get_ports {IO_D[15]}]              ;# D24 / P6~IO_L47P_2
332
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[15]}]
333
 
334
set_property PACKAGE_PIN P4 [get_ports {IO_D[16]}]              ;# D25 / P4~IO_L63P_2
335
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[16]}]
336
 
337
 
338
set_property PACKAGE_PIN A13 [get_ports {IO_E[0]}]              ;# E13 / A13~IO_L63N_SCP6_0
339
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[0]}]
340
 
341
set_property PACKAGE_PIN C11 [get_ports {IO_E[1]}]              ;# E14 / C11~IO_L39P_0
342
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[1]}]
343
 
344
set_property PACKAGE_PIN A11 [get_ports {IO_E[2]}]              ;# E15 / A11~IO_L39N_0
345
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[2]}]
346
 
347
set_property PACKAGE_PIN B10 [get_ports {IO_E[3]}]              ;# E16 / B10~IO_L35P_GCLK17_0
348
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[3]}]
349
 
350
set_property PACKAGE_PIN A10 [get_ports {IO_E[4]}]              ;# E17 / A10~IO_L35N_GCLK16_0
351
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[4]}]
352
 
353
set_property PACKAGE_PIN F9 [get_ports {IO_E[5]}]               ;# E18 / F9~IO_L40P_0
354
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[5]}]
355
 
356
set_property PACKAGE_PIN D9 [get_ports {IO_E[6]}]               ;# E19 / D9~IO_L40N_0
357
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[6]}]
358
 
359
set_property PACKAGE_PIN A8 [get_ports {IO_E[7]}]               ;# E20 / A8~IO_L33N_0
360
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[7]}]
361
 
362
set_property PACKAGE_PIN B8 [get_ports {IO_E[8]}]               ;# E21 / B8~IO_L33P_0
363
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[8]}]
364
 
365
set_property PACKAGE_PIN E8 [get_ports {IO_E[9]}]               ;# E22 / E8~IO_L36N_GCLK14_0
366
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[9]}]
367
 
368
set_property PACKAGE_PIN E7 [get_ports {IO_E[10]}]              ;# E23 / E7~IO_L36P_GCLK15_0
369
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[10]}]
370
 
371
 
372
set_property PACKAGE_PIN N16 [get_ports {IO_F[0]}]              ;# F10 / N16~IO_L45N_A0_M1LDQSN_1
373
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[0]}]
374
 
375
set_property PACKAGE_PIN M15 [get_ports {IO_F[1]}]              ;# F11 / M15~IO_L46P_FCS_B_M1DQ2_1
376
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[1]}]
377
 
378
set_property PACKAGE_PIN R15 [get_ports {IO_F[2]}]              ;# F12 / R15~IO_L49P_M1DQ10_1
379
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[2]}]
380
 
381
set_property PACKAGE_PIN L14 [get_ports {IO_F[3]}]              ;# F13 / L14~IO_L47P_FWE_B_M1DQ0_1
382
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[3]}]
383
 
384
set_property PACKAGE_PIN N14 [get_ports {IO_F[4]}]              ;# F14 / N14~IO_L45P_A1_M1LDQS_1
385
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[4]}]
386
 
387
set_property PACKAGE_PIN L13 [get_ports {IO_F[5]}]              ;# F15 / L13~IO_L53N_VREF_1
388
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[5]}]
389
 
390
set_property PACKAGE_PIN L12 [get_ports {IO_F[6]}]              ;# F16 / L12~IO_L53P_1
391
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[6]}]
392
 
393
set_property PACKAGE_PIN R12 [get_ports {IO_F[7]}]              ;# F17 / R12~IO_L52P_M1DQ14_1
394
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[7]}]
395
 
396
set_property PACKAGE_PIN M11 [get_ports {IO_F[8]}]              ;# F18 / M11~IO_L2N_CMPMOSI_2
397
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[8]}]
398
 
399
set_property PACKAGE_PIN M10 [get_ports {IO_F[9]}]              ;# F19 / M10~IO_L16N_VREF_2
400
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[9]}]
401
 
402
set_property PACKAGE_PIN T9 [get_ports {IO_F[10]}]              ;# F20 / T9~IO_L23N_2
403
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[10]}]
404
 
405
set_property PACKAGE_PIN P9 [get_ports {IO_F[11]}]              ;# F21 / P9~IO_L14N_D12_2
406
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[11]}]
407
 
408
set_property PACKAGE_PIN T8 [get_ports {IO_F[12]}]              ;# F22 / T8~IO_L30N_GCLK0_USERCCLK_2
409
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[12]}]
410
 
411
set_property PACKAGE_PIN R7 [get_ports {IO_F[13]}]              ;# F23 / R7~IO_L32P_GCLK29_2
412
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[13]}]
413
 
414
set_property PACKAGE_PIN T6 [get_ports {IO_F[14]}]              ;# F24 / T6~IO_L47N_2
415
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[14]}]
416
 
417
set_property PACKAGE_PIN T4 [get_ports {IO_F[15]}]              ;# F25 / T4~IO_L63N_2
418
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[15]}]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.